The program describes both MIKON and IRS sessions. Registered participants can attend any of these sessions at their choice.
Time James Clerk Maxwell (Aula) Auditorium Novum Carl Friedrich Gauss (room 300) Michael Faraday (room 211) Heinrich Hertz (room 352) John Henry Poynting (room 264) Andre Ampere (room 360) NE 230 (Faculty Of ETI Building B) NE 231 (Faculty Of ETI Building B) NE 234 (Faculty Of ETI Building B)

Monday, September 12

08:00-09:00 Mon_reg: Attendee registration desk open
09:00-10:55 MRWopen: MRW Opening                  
10:55-11:15 CoffeeM1: Coffee break
11:15-12:45 MRWplenary: MRW Plenary                  
12:45-14:00   Lunch_M: Lunch      
14:00-15:40 M01: Microwave and Millimeter-wave Amplifiers   M02: Planar filters and synthesis methods M03: Antennas M04: Focused session Women in Science and Engineering Matinee M05: SAR M06: Automotive radar      
15:40-16:30 Poster1_Mon: Poster session 1
16:30-18:10 M07: Active Devices and Circuits   M08: Non-planar filters and waveguide filter M09: High Power Technology, Systems and Measurements M10: Focused session Women in Science and Engineering Matinee - part II M11: Advanced optimization methods for radar signal processing M12: Radar On Chip      
18:10-20:00 Welcome: Welcome reception

Tuesday, September 13

08:20-10:00 T01: Focused Session - Advances in Numerical Modelling   T02: 3D Printed filters and passive components T03: Focused session - Complete value chains for radio frequency electronics in 5G (A) T04: Noise radar T05: 3D imaging T06: Passive radar 1      
10:00-10:50 Poster2_Tue: Poster session 2
10:50-12:30 MIKONplenary1: MIKON Plenary session Tue       T10: EDA sensor research and technology developments for UAS defence applications T11: Advanced radar techniques 2 T12: Passive radar 2      
12:35-13:00 Lunch_T: Lunch   Lunch_T: Lunch      
13:00-13:45 non-tech: A special non-technical session: Happiness...      
13:45-15:25 IRSplenary: IRS Plenary talks   T14: Materials and Material Characterisation T15: Focused session - Complete value chains for radio frequency electronics in 5G (B) T16: Focused session - III-V technologies for communications: components & heterogeneous integration T17: Sensors T18: T18      
15:25-15:45 CoffeeT2: Coffee break
15:45-17:25 T19: THz and Sub-THz Technology   T20: Electronic Materials and Material Characterisation T21: Focused Session - Intelligent, secure and reliable wireless systems T22: Passive components and applications T23: Advanced radar techniques 1 T24: Passive and MIMO radar      
17:25-17:35                  
19:00-23:00 Gala: Gala dinner

Wednesday, September 14

08:30-10:10       W03: Wireless networks W06: SAR/ISAR/Imaging W05: Weather and Polarimetric radar W04: Radar Technology      
10:10-10:30 CoffeeW1: Coffee break
10:30-12:10   MIKONplenary2: MIKON Plenary session Wed   W12: ESM   W11: Imaging a Human Body        
12:10-13:25 Lunch_W: Lunch
13:25-15:05   MRWclosing: MRW Closing                

Thursday, September 15

08:00-08:30               WR1: Short Course on EM modelling    
08:30-13:00                 TUT1: Beyond 5G Integrated Sensing and Communications
13:00-13:30                  
13:30-14:00                 WR2: Workshop on Microwave and Millimetre-Wave Characterization of Dielectric Sheets  
14:00-16:00               TUT3: Surveillance of drones and birds with staring radar TUT2: Deep Learning for Synthetic Aperture Radar Target Recognition and Image Interpretation
16:00-17:30                  

Monday, September 12

Monday, September 12 8:00 - 9:00

Mon_reg: Attendee registration desk open

Badges & conference bags for registered attendees, and last-minute registration of new attendees

Monday, September 12 9:00 - 10:55

MRWopen: MRW Opening

Room: James Clerk Maxwell (Aula)
Chair: Jozef Modelski (Warsaw University of Technology, Poland)
9:00 Opening Ceremony

Opening Ceremony

9:25 SWIPT - Backscatter Solutions for Batteryless Sensors - Combining WPT and Backscatter Communications
Nuno Borges Carvalho (University of Aveiro/IT Aveiro, Portugal)

The energy needs for wireless systems is limiting the evolution of most of the IoT and space future solutions. In this talk, an overview of the energy problem in IoT wireless communication systems will be presented. The main objective is to discuss future wireless paradigms that will be changing soon, those include the issue of battery-less wireless devices, combining wireless power transmission and backscatter communications.

10:10 6G Wireless Communications for High Capacity Above 100 GHz: Components and System Design
Viktor Krozer (Goethe University of Frankfurt am Main, Germany)

This work describes a novel network architecture and system design of a 6G wireless communication system above 100 GHz. The architecture is based on fronthaul in Point-to-Multipoint at D-band (141 - 148.5 GHz) with midhaul in Point-to-Point at Gband (275 - 305 GHz) with transport data of about 30 Gbit/s and area capacity above 100 Gbit/s/sqkm. The systems brings data from modem level to the sub-THz frequencies. This new network concept is one of the enabling elements of the 6G activites. The presentation will discuss the required components and the system design and implementation.

Monday, September 12 11:15 - 12:45

MRWplenary: MRW Plenary

Room: James Clerk Maxwell (Aula)
Chairs: Jacek Misiurewicz (Warsaw University of Technology, Poland), Krzysztof Nyka (Gdansk University of Technology, Poland)
11:15 Tunable RF Filters: A Step Towards Efficient Spectrum Access & Multi-Standard Communications
Dimitra Psychogiou (University College Cork and Tyndall National Institute, Ireland)

Future wireless communications and emerging services including e-Health, Internet-from-Space and autonomous transport, will be critically dependent on secure and sustainable connectivity. However, connectivity will depend highly on the availability of electromagnetic spectrum which has become a scarce resource. To utilize the spectrum efficiently, disruptive technology innovations are required including dynamic access, operability at higher frequencies (e.g., mmWaves) and using the same frequency for transmit and receive, e.g., full-duplex. However, current RF transceiver technologies aren't able to support these functionalities due the high complexity of the RF hardware and the lack of adaptive RF components.

This talk will provide an overview of emerging RF filtering technologies as key enabling elements for efficient spectrum utilization and low SWaP-C RF systems. Specifically, the talk will focus on new RF design methods, RF tuning techniques and integration concepts that facilitate the realization of RF filters with multiple levels of transfer function adaptivity (bandwidth, center frequency, type), multi-band operability and multi-octave spectrum sensing. New RF design paradigms that enable RF filters with co-located RF signal processing actions (e.g., filtering and amplification or matching) will be presented as a way to miniaturize the RF front-end size and improve its efficiency. Furthermore, the talk will demonstrate the fundamentals of introducing non-reciprocity within an RF filtering device with the purpose of realizing truly-full duplex RF systems.

11:55 Small SAR satellites are not what you think
Darren Muff (ICEYE, Finland)

The world currently faces three key problems around Earth Observation Data: reliability, timeliness, access. To address these issues directly, the ICEYE radar satellite constellation is here to change the way we look at our world from space.

12:15 Sensor Emerging and Disruptive Technologies for European Defence Applications
Fabrizio Berizzi (European Defence Agency, Brussels, Belgium)

The goal of this talk is to provide an overview of the action plan and research activities developed in EDA relevant to sensor emerging and disruptive technologies (EDTs). The talk will start with a short presentation of the EDA in terms of mission, organization, research and technology research activities, tools for EU member states cooperation actions. Then, an introduction on the concept emerging and disruptive technologies for Defence will be given followed by a short illustration of the actions undertaken in EDA on the topic of innovation. Some specific examples will be presented with reference to the application of Artificial Intelligence for detection, recognition, identification and tracking of targets and to the new potential developments of sensor quantum technologies. The talk will end by mentioning the new Defence challenges where EDTs could have an important role to improve the EU defence capabilities.

Monday, September 12 14:00 - 15:40

M01: Microwave and Millimeter-wave Amplifiers

Room: James Clerk Maxwell (Aula)
Chairs: Paolo Colantonio (University of Roma Tor Vergata, Italy), Matthias Rudolph (Brandenburg University of Technology, Germany)
14:00 Highly Linear Concurrent Tri-Band GaN LNA MMIC for 0.8/1.8/3.6 GHz Applications
Evelyne Kaule (Brandenburg University of Technology Cottbus-Senftenberg, Germany); Hossein Yazdani (Ferdinand-Braun-Institut, Germany); Matthias Rudolph (Brandenburg University of Technology, Germany)

Rugged and highly linear GaN HEMT LNAs are interesting in the receiver path for many applications. This paper presents a novel concept of a multiband GaN LNA MMIC chip for the frequencies of 0.8 GHz, 1.8 GHz and 3.6 GHz, realized in coplanar technology, with 0.15 µm - gate AlGaN/GaN HEMTs. The MMIC has a dimension of 4.35×2.15 mm 2 and provides a small-signal gain of 29 dB at 0.8 GHz, and 34 dB at 1.8 GHz and 3.6 GHz with a noise figure of 4 dB, 2.7 dB and 2.2 dB, respectively. Third-order output intercept point is determined to be 30 dBm at 0.8 GHz, 34 dBm at 1.8 GHz and 36 dBm at 3.6 GHz, proving that the LNA is well suited for highly linear multiband receiver applications.

14:20 Iterative Learning Control for Impedance Matching Network
Sebastian Bąba, Grzegorz Palesa, Serafin Bachman and Andrzej Gierałtowski (TRUMPF Huettinger Sp. z o. o., Poland)

Impedance matching circuits are used in plasma processing systems to adjust a complex impedance of plasma chamber to standardized value - 50 Ohm. However, this unusual application introduces several challenges for impedance matching circuit, like: wide changes of load impedance related to plasma ignition or changes of load impedance in accordance to power delivered to the vacuum chamber. On top of that, there is a distinct drive to reduce time required to reach VSWR = 1.0 to lowest possible value. Thus, to address this issue a proposal of Iterative Learning Control algorithm for impedance matching circuit was introduced.

14:40 Comparison of a Copper and Aluminium SiGe BEOL Option for Power Amplifiers Above 200GHz
Joachim Hebeler (Karlsruhe Institute of Technology, Germany); Thomas Zwick (Karlsruhe Institute of Technology (KIT), Germany); Ahmet Çagrı Ulusoy (Karlsruhe Institute of Technology, Germany)

This paper investigates the possible benefits of a copper BEOL option for power amplifiers above 200 GHz in a 130 nm SiGe process featuring a ft/fmax of 350 GHz/450 GHz. The differences in the metallizations are investigated, and the performance benefits and shortcomings are quantified in light of power amplifier design. Two power amplifiers are built using the respective technologies, measured and discussed concerning their differences resulting from the different BEOL options.

15:00 A GaN-SiC MMIC Doherty Power Amplifier for K-Band Wireless Communications
Stela Furxhi and Simone De Marzi (University of Tor Vergata, Italy); Antonio Raffo (University of Ferrara, Italy); Rocco Giofrè and Paolo Colantonio (University of Roma Tor Vergata, Italy)

This work presents the design and measurement results of a Monolithic Microwave Integrated Circuit (MMIC) Doherty Power Amplifier (DPA) conceived for K-band wireless applications. The amplifier is based on a two-stage architecture in which a single device drives both Carrier and Peaking final stage. The selected technology is the Gallium Nitride on Silicon Carbide (GaN-SiC) HEMT with 0.15μm gate length, supplied by WIN Semiconductors foundry. The center frequency is set to 19.65 GHz, whereas the chip area results to be 3.7x3mm2. From the preliminary experimental characterization, a good agreement between measurements and simulations has been achieved. Small-signal gain in excesses of 16 dB has been measured from 18.65 GHz to 20.65 GHz with both input and output return losses better than 10 dB. Expected nonlinear performance in terms of output power, power added efficiency (PAE) and gain at saturation and back-off level are respectively: Pout, sat=36 dBm, PAEsat=40 %, Gsat=13 dB and Pout, back-off=30 dBm, PAEback-off=25 %, Gback-off=14.5 dB.

15:20 Development of GaN/Si MMIC Power Amplifiers for Millimetre-Wave FMCW Radar Applications
Chiara Ramella and Marco Pirola (Politecnico di Torino, Italy); Paolo Colantonio (University of Roma Tor Vergata, Italy)

This contribution reports the design and comparison, at simulation level, of two 4W Ka-band MMIC power amplifiers on a 100nm GaN/Si commercial process. The amplifiers are designed targeting FMCW radar applications in the 35 GHz - 40 GHz range. The two amplifiers differ for the number of stages: one adopts only 3 stages, the minimum required to achieve the desired 20 dB small-signal gain, while the other features 4 stage to reach 20 dB also in compression. Both versions achieve in simulation an output power in excess of 36 dBm, at 5 dB gain compression, with associated power added efficiency and gain around 30% and 17 dB for the 3-stage version, and 25% and 22 dB for the 4-stage version.

M02: Planar filters and synthesis methods

Room: Carl Friedrich Gauss (room 300)
Chairs: Maurizio Bozzi (University of Pavia, Italy), Roberto Gómez-García (University of Alcalá, Spain)
14:00 Higher-Order Filters with Folded Circular SIW Cavities
Anton Sieganschin and Bartosz Tegowski (Hamburg University of Technology, Germany); Alexander Koelpin (Hamburg University of Technology & Chair for Electronics and Sensor Systems, Germany); Arne F Jacob (Hamburg University of Technology, Germany)

The seventh-order filter, this contribution discusses, relies on folded circular substrate integrated waveguide (FCSIW) cavities. It comprises two different cavity types and exhibits 5% fractional bandwidth at 10-GHz center frequency. Simulation and measurements demonstrate its functionality. According to its order, the filter shows high isolation over a large frequency band. The experiments validate the proposed layout procedure for FCSIW cavity filters of arbitrary filter order.

14:20 Inline Microstrip Bandpass Filter with Two Transmission Zeros and Increased Order Using Spurious Resonance of Frequency-Dependent Inverter
Maciej Jasinski (Gdansk University of Technology, Poland); Muhammad Y Sandhu (Gdansk University of Technology, Pakistan & Sukkur IBA University, Pakistan); Adam Lamecki (Gdansk University of Technology, Poland); Roberto Gómez-García (University of Alcalá, Spain); Michal Mrozowski (Gdansk University of Technology, Poland)

A design method for a class of fourth-order inline microstrip bandpass filter with two transmission zeros and 20% fractional bandwidth is presented. The filter consists of two quarter-wavelength transmission-line resonators coupled by a frequency-dependent inverter. The inverter is composed of two open-ended stubs that are connected by an interdigital capacitor and introduces two poles and two transmission zeros in the filter response. One of these poles is obtained from the spurious resonance of the capacitor, which leads to a very compact filter structure. An equivalent circuit model of the frequency-dependent inverter is provided along with a detailed coupling-matrix-based synthesis procedure to design the filter prototype. The design theory is validated with a constructed 2-GHz proof-of-concept prototype. Measured results are in close agreement with the synthesis and EM-simulated ones, hence verifying the devised design approach.

14:40 Planar High-Order Broad-Band Bandpass Filters Based on Two-Stage Quadrature Couplers and Their Digital Modeling
Li Yang and Gaspar Martínez-Piqueras (University of Alcala, Spain); José-María Muñoz-Ferreras and Roberto Gómez-García (University of Alcalá, Spain)

Planar two-stage branch-line directional power couplers are applied in this paper to the design of transversal-signal-interference high-order wide-band bandpass filters (BPFs) with very-sharp-rejection characteristics. In comparison with the previously-reported experimental BPF demonstrators of their precursors-i.e., those using transversal filtering sections (TFSs) with one-stage branch-line couplers loaded by longer dissimilar stubs-, an enlarged-bandwidth passband with a higher number of in-band reflection zeros and lower amplitude variation can be obtained. Moreover, multiple transmission zeros (TZs) can be created in the out-of-band region owing to the intrinsic signal-energy cancelation phenomena of the transversal signal-interference philosophy, which result in high-selectivity filtering capabilities. As further conceptual understanding of the devised two-stage-coupler signal-interference BPFs, a digital-modeling interpretation for various illustrative synthesis examples is provided. In addition, for experimental-validation purposes, single- and two-TFS-based microstrip prototypes of wide-band BPFs centered at 2 GHz are manufactured and characterized. In these circuits, inter-digital-type input/output feeding sections are co-integrated. They allow to extend the lower/upper stopband bandwidths with regard to those inherent to their isolated two-stage-coupler-based TFSs.

15:00 Application of a New Inverter in Direct-Coupled Resonator Filters
Adam Abramowicz (Institute of Electronic Systems, Warsaw University of Technology, Poland)

The new real inverter is introduced. Application of the new inverter in design of direct coupled filters is presented for magnetically coupled resonant circuits. The design formulas are given. The application limits of the formulas are stated. The computed characteristics of filters with wide bandwidths are presented. The advantages of the new inverter are described.

15:20 Coupling Matrix Synthesis Using Groebner Basis
Jedrzej Michalczyk and Jerzy Julian Michalski (SpaceForest, Poland)

This paper presents a new analytical approach for a problem of coupling matrix (CM) synthesis. The approach is based on reformulation of well-known equations representing filter scattering parameters S11 and S21 for a given coupling matrix. With the use of relation connecting inverse matrix its determinant and its adjugate matrix the system of equations is formed. The equations are reformulated in a way allowing direct comparison of polynomials coefficients for numerator and denominator of S11 and numerator of S21. This enables to form the system of polynomial equations, where unknowns are all entries of searched coupling matrix. In the proposed approach no conversion from S-parameters to Y-parameters is needed like in most of published techniques. SINGULAR software (GPL license) using Groebner basis for solution of such a system of polynomial equations was used. Two numerical experiments have been presented. First one CM synthesis for 4th order (one cross-coupling) filter. Second one is CM synthesis for 8th order (two cross-couplings) filter. Both experiments showed excellent results obtained with the proposed method. The method works for S-parameters of filters with arbitrary topologies.

M03: Antennas

Room: Michael Faraday (room 211)
Chair: Wlodzimierz Zieniutycz (Gdansk University of Technology, Poland)
14:00 Polarization and Pattern Reconfigurable Fabry-Perot Cavity Antenna Using PIN Diodes Grid
Aleksander Kubeczek (Wrocław University of Science and Technology, Poland); Thomas Dallmann (Fraunhofer Institute for High Frequency Physics and Radar Techniques FHR, Germany); Adam Narbudowicz (Trinity College Dublin, Ireland & Wroclaw University of Science and Technology, Poland); Tim Freialdenhoven (Fraunhofer Institute for High Frequency Physics and Radar Techniques FHR, Germany)

This work proposes a polarization and directivity reconfigurable Fabry-Perot cavity antenna. The design uses a grid of reconfigurable PIN didoes, with rows and columns of diodes being turned on/off to control the radiating aperture. The cavity is excited using circularly polarized patch antenna. Overall, the antenna can be reconfigured to radiate either that for a pol, which to control polarization and aperture size. The reconfiguration is controlled by switching rows and columns of PIN diodes that form the grid of Polarization Selective Surface PSS). For the linear polarization, the antenna offers 40 dB of cross polarization level, while the beam width can be altered from 20o to 32o. The antenna preserves 10 dB impedance bandwidth for all investigated configurations, with the exception when all diodes are turned on to block the radiation for all polarizations.

14:20 Pixelated Circularly Polarized SIW Horn Antenna with Nearly Equal Beamwidths in Principal Planes
Jaroslav Zechmeister and Jaroslav Lacik (Brno University of Technology, Czech Republic)

In this paper, a circularly polarized Substrate Integrated Waveguide horn antenna with nearly equal beamwidths in principal planes is presented. The antenna is designed to operate at the 24 GHz ISM band. The antenna parameters enhancement is achieved using a pixelization strategy applied to its topology. For the design, a heuristic algorithm called Binary Ink Stamp Optimization is exploited. The simulated proposed antenna provides a 21.4% -10 dB S11 bandwidth, a 4.1% 3dB axial ratio bandwidth and a gain 9.4 dBi. A difference between half-power beamwidths in principal planes over the 24 GHz ISM band is below 1°.

14:40 Millimeter-Wave Dual-Polarized Active Phased Array Antenna for 5G Applications
Letian Wen (Nanjing & Southeast University, China); Zhiqiang Yu (Southeast University, China); Gangyi Chi, Yaoming Huang, Tianyang Cao and Jing Wang (China Mobile Group Design Institute Co., Ltd., China); Jianyi Zhou (Southeast University, China)

This paper presents a millimeter-wave dual-polarized (DP) phased-array antenna in which a 2 × 4 stacked-patch array antenna is surface mounted on a feeding structure. The modified stacked-patch antenna is presented. The stacked-patch antenna is prototyped and measured. Measurement results of the radiation pattern show that the array antenna is capable of scanning ± 40° in azimuth. Measurement results also show that the active antenna can operate in the 24.5-27.5 GHz band and a good cross-polarization level in both horizontal and vertical polarization is observed. Error- vector-magnitude (EVM) measurement results are < 4.07% in the whole frequency band. The proposed active antenna can reduce the difficulty of the multi-layer printed circuit board processing and is suitable for 5G millimeter-wave applications.

15:00 On the Excitation Methods and the Realization of Tunable and Reconfigurable Plasma Wire Antennas
Ali K. Horestani (Gdansk University of Technology, Poland); Fatemeh Sadeghikia, Mahmoud Talafi Noghani and Mohammad reza Dorbin (Aerospace Research Institute, Iran); Hajar Jaafar (Universiti Teknologi MARA, Malaysia)

In this paper, an investigation on the methods of excitation and realization of reconfigurable and tunable plasma antennas is presented. Plasma columns operating as antennas are usually excited by a surface-wave technique. Concerning the drawbacks of the conventional surface-wave launchers for the plasma antennas, a modified excitation mechanism is proposed here for applying the signal and excitation waves at a single point along the plasma column. Based on the surface-wave-excited plasma elements, frequency tunable and reconfigurable plasma antennas are analyzed and developed. Good agreement between the simulation and measurement results of the implemented prototypes validates the reconfiguration technique.

15:20 Mutual Coupling Reduction Between Elements of Dual-Polarization Phased Array Antenna for Weather Radars
Steffy Benny and Swaroop Sahoo (IIT Palakkad, India)

In this paper, a novel uni-planar EBG structure is proposed for improving isolation between two adjacent aperture coupled stacked microstrip antenna elements operating in the frequency range of 2.75 to 3.12 GHz. The EBG is used to reduce surface wave coupling and it is characterized using waveguide based direct transmission method to determine the frequency of band gap. The simulated results show that the mutual coupling is suppressed by 8 dB and 4 dB for V and H-polarizations, respectively while keeping the cross-polarization level for V-and H-polarization below -47 dB. The characterisation of EBG also involves the simulated surface current on adjacent elements before and after using EBG to determine its effectiveness.

M04: Focused session Women in Science and Engineering Matinee

Room: Heinrich Hertz (room 352)
14:00 Welcome to the WiSE Afternoon
Malgorzata Celuch (QWED, Poland)

At MIKON, there have always been women in microwaves, but the first dedicated Women in Microwaves session was only held in 2020. It sparked significant interests and was subsequently featured in the July 2021 issue of the IEEE Microwave Magazine. This year we want to build upon it but also reach out to a broader audience of women active in both engineering and science, and in the management of the two, including some traces of history.

14:20 RF Design for Ultra-Low Power Wireless Communication Systems
Jasmin Grosinger (Graz University of Technology & Institute of Microwave and Photonic Engineering, Austria)

In this talk, I will present radio frequency (RF) design solutions for wireless sensor nodes to solve sustainability issues in the Internet of things (IoT), which arise due to the massive deployment of wireless IoT nodes on environmental and economic levels. Engineers can apply these RF design solutions to improve the ultra-low-power operation of IoT nodes, avoid batteries' eco-toxicity, and decrease maintenance costs due to battery replacement. The solutions offer high integration levels based on system-on-chip and system-in-package concepts in low-cost complementary metal-oxide-semiconductor technologies to limit these nodes' costs and carbon footprints.

14:40 Personal Career Journey and Millimeter Wave Interconnects and Antennas
Rashaunda Henderson (University of Texas at Dallas, USA)

This talk will describe my career journey highlighting my transition from industry to academia and how my volunteering activities in IEEE MTT-S facilitated my most recent academic role as Professor at UTD. I will then present some results in silicon interconnects and progress related to the design of antennas in package, all in the millimeter wave band.

15:00 From Academia to Industrial Research - Working Experience
Agnieszka Konczykowska (ADesign, France)

This talk will present personal working experience, which combines work at University followed by research in telecommunication domain in different large companies. Beside sharing social and human observation, the technical work in a team with the goal of providing high speed integrated circuits and modules for telecom system experiments will be presented. Different competencies and expertise available in this small team, like: semiconductor technology, devices modeling, circuit design, circuit measurements and packaging will be further detailed.

15:20 WiSE Open Discussion
Malgorzata Celuch (QWED, Poland)

WiSE Open Discussion

M05: SAR

Room: John Henry Poynting (room 264)
Chair: Damian Gromek (Warsaw University of Technology, Poland)
14:00 Ultrafast Object Detection on High Resolution SAR Images
Maximilian Schwaiger and Jonathan Kobold (Hensoldt Analytics, Germany); Christoph Neumann and Tobias Brosch (Hensoldt Sensors GmbH, Ulm, Germany)

Synthetic Aperture Radar (SAR) images provide a good means of analyzing large areas despite fog, clouds, etc. High resolution SAR images enable the detection of a wide variety of relevant targets to detect potential threats and assess the situation. However, the vast amount of information and the peculiarities of SAR imagery require a huge amount of trained experts and/or time to comb through the data. In [1], we demonstrated an AI based approach to detect and classify objects in SAR images with a deep neural network and modern training techniques. In this publication, we investigate, how much the neural network can be reduced without sacrificing the classification performance. An ablation study is shown demonstrating the relevant parts of the neural network.

14:20 Multi-Channel SAR Instrument Calibration Using the Spatial Correlation Properties of Homogeneous Scenes
Jan Paul Kroll (German Aerospace Center, Germany); Marwan Younis (German Aerospace Center (DLR), Germany); Gerhard Krieger (DLR, Germany)

Synthetic Aperture Radar (SAR) instruments with multiple channels in azimuth and elevation are of great interest for near-future satellite missions. An on-board calibration of the channels can be performed by utilizing the raw SAR data. For a radar clutter signal the spatial correlation between the channels is employed. However, to calibrate the elevation channels of a SAR instrument with said method the SAR geometry, the transmitted signal properties, the patterns as well as multiple simultaneous echos have to be taken into account. A model incorporating these considerations is presented in this paper. A comparison of the SAR measurements to the model allows a calibration of the SAR instrument.

14:40 Phase Control in Interpolation for Backprojection of THz FMCW SAR Signals
Yevhen Ivanenko and Vu Viet Thuy (Blekinge Institute of Technology, Sweden); Jan Barowski (Ruhr-Universität Bochum, Germany); Hans Hellsten (Halmstad University, Sweden); Mats I. Pettersson (Blekinge Institute of Technology, Sweden)

The THz frequency spectrum opens a lot of applications in the imaging at sub-mm level. The increase of the operating frequency band for SAR imaging systems to the THz range has proportionally affected the amount of raw data to be stored and used for accurate image reconstruction. As a consequence, improvements in the existing SAR imaging algorithms to reduce the amount of data needed to achieve the appropriate quality of imaging is desired. This paper introduces the phase control procedure as an extension to the existing sinc interpolator for backprojecting complex-valued FMCW SAR data into a defined image plane. The proposed extension controls the phase of interpolated complex-valued SAR data parameters so that it includes appropriate information about the range distance between the SAR system and the given point of space. The extended algorithm is incorporated into the global backprojection algorithm and examined on the measurement data acquired via the 2πSENSE FMCW SAR system. The efficiency of the extended algorithm is evaluated through the comparison with the conventional nearest neighbor and sinc interpolation algorithms.

15:00 Combined MAM-PCA Autofocus for Stripmap SAR
Rifat Afroz (The University of Adelaide, Australia); Brian Ng (University of Adelaide, Australia); Derek Abbott (The University of Adelaide, Australia); Rolf Scheiber (German Aerospace Center (DLR), Germany)

The nonparametric phase curvature algorithm (PCA) is commonly used to estimate residual motion error in stripmap SAR. The algorithm is capable of estimating second and higher order errors, as well as high frequency errors. However, its dependence on dominant scatterers restricts its application to a wide variety of scenes. Such a limitation is encountered in focusing a polarimetric L-band SAR data collected from an agricultural field. The lack of dominant scatterers in the scene results in inaccurate error estimation when PCA is applied directly. Another autofocus scheme, the Multi-aperture Mapdrift (MAM), is used first to eliminate the low order motion errors. This improves the focusing quality of the existing targets. The enhanced point targets are then suitable for PCA to remove the remaining higher order motion errors. The novel MAM-PCA combination significantly improves the image contrast compared to that obtained from the approaches applied separately. Point target based comparison of MAM, PCA and MAM-PCA show that the proposed technique improves the overall quality of the target profile.

15:20 Azimuth Velocity Estimation of Moving Target Based on Azimuth Dual Beam SAR System
Long Li, Aifang Liu, Zuzhen Huang, Long Huang and Jinjian Cai (Nanjing Research Institute of Electronics Technology, China)

This paper introduces a method for estimating the azimuth velocity of moving target based on dual-beam SAR system, and the simulation results verify that this method has high estimation accuracy and stability on the SAR platform with high-speed aircraft. At first, this paper analyses the azimuth position offset of the moving target under the condition of squint. Subsequently, it analyses that the azimuth position difference of the moving target after offset is affected by the azimuth velocity and the distance velocity of the moving target in the two images of the dual-beam. In the high-speed aircraft platform, the influence of the distance velocity can be ignored, and then the azimuth velocity can be estimated according to the position difference of the moving target in the dual-beam image.

M06: Automotive radar

Room: Andre Ampere (room 360)
Chairs: Andreas Danklmayer (Fraunhofer FHR, Germany), Marc Michael Meinecke (Volkswagen AG, Germany)
14:00 Two-Dimensional Arbitrary Angle of Arrival in Radar Target Simulation
Axel Diewald, Benjamin Nuss and Johannes Galinsky (Karlsruhe Institute of Technology, Germany); Thomas Zwick (Karlsruhe Institute of Technology (KIT), Germany)

Automotive radar sensors play a key role in the current development of advanced driver assistance systems (ADAS). Their ability to detect objects even under adverse weather conditions makes them indispensable for environment-sensing tasks in autonomous vehicles. Since an operational failure presents a potential risk to human life, thorough and practical validation testing must be performed, requiring an integrative test solution. Radar target simulators (RTS) are capable of performing over-the-air validation tests by generating virtual radar echoes that are perceived as targets by the radar under test (RuT). Since the authenticity and credibility of these targets is based on the accuracy with which they are created, their simulated position must be arbitrarily adjustable. In this work, an existing approach to synthesize virtual radar targets at an arbitrary angle of arrival (AoA) is extended to cover both, the azimuth and elevation domain. The concept is based on the superposition of the returning signals from four neighboring RTS channels. A theoretical model describing the basic principle and its constraints is developed. In addition, a measurement campaign is conducted to verify the practical functionality of the proposed approach.

14:20 Performance Analysis and Design of a Distributed Radar Network for Automotive Application
Aitor Correas-Serrano, María Antonia González-Huici and Renato Simoni (Fraunhofer FHR, Germany); Tobias Breddermann and Ernst Warsitz (Hella KGaA Hueck & Co., Germany); Thomas Mueller and Oliver Kirsch (Hella GmbH Co. KGaA, Germany)

This work deals with the problem of joint direction-of-arrival (DoA) estimation in a network of forward-facing automotive radars with partially overlapping fields of view (FOVs). Assuming monostatic operation, we show performance improvements achieved by using block-sparse reconstruction and array optimization compared to individual estimation with ad-hoc array designs. For a preexisting network consisting of two symmetric corner-mounted radars, we investigate the benefits of adding a third central sparse array optimized for joint operation with the corner-mounted sensors. Simulations show that adding a very-sparse central sensor explicitly designed to achieve sidelobe-cancellation with the supporting corner-mounted sensors significantly improves angular resolution without increasing the number of false alarms in the network.

14:40 Dispersion Compensation for Phase-Coded FMCW Radars
Franz Lampel (Eindhoven University of Technology, The Netherlands); Alex Alvarado (Eindhoven University of Technology (TU/e), The Netherlands); Frans MJ Willems (Technical University Eindhoven, The Netherlands)

Phase-coded frequency modulated continuous wave (PC-FMCW) radars represent an extension to frequency modu- lated continuous wave radars. PC-FMCW radars embed a phase code (PC) into the transmitted signal that allows, for example, to suppress interference from other radars or to realize joint communication and radar systems. At the receiver, the PC is removed from the radar signal before the received signal is processed. Therefore a frequency-dependent time shift is applied. This frequency-dependent time shift, however, causes dispersion of the embedded PC. Consequently, a residual error is introduced, and the radar performance is degraded. The dispersion of the PC has been neglected so far in the literature. In this work, we analyze the dispersion of the embedded PC and show that it is independent of the intermediate frequency of the signal. Based on this observation, we propose two novel techniques that allow for the compensation of dispersion. We demonstrate the effectiveness of the proposed techniques using simulations.

15:00 Variance Analysis for Radar-Boosted Estimator of Host Vehicle Motion
Dariusz Cieslar and Maciej Różewicz (Aptiv Services Poland S.A., Poland)

Planar motion of a rigid body equipped with multiple radar sensors can be estimated from their Doppler and azimuth measurements. In automotive applications, such capability introduces an opportunity of using radar system as a self-contained source of information about vehicle speed, yaw rate and sideslip. In this paper we apply variance analysis methods to investigate relative merits between such radar-based estimates and the traditional approach that uses wheel speed and inertial measurements. Significant differences in characteristics of these two methods are observed in experimental results. Variance analysis is subsequently applied to a generic fusion scheme with interacting multiple models that takes advantage of both information sources.

15:20 Narrowband Interference Suppression Using Envelop Detection-Based Interference Replica Regeneration for Automotive CS Radars
Masahiro Umehira (Nanzan University & Ibaraki University, Japan); Takahiro Maruyama, Yuu Watanabe, Xiaoyan Wang and Shigeki Takeda (Ibaraki University, Japan)

Millimeter-wave chirp sequence (CS) radar is a promising radar solution for automated driving system and ADAS (Advanced Driver Assistance System). Future dense deployment of automotive CS radars could result in significant inter-radar interference which causes miss-detection and/or false detection of the target. Narrowband interference resulting in false detection can be a serious problem when CS radar using the same design parameters are widely deployed. This paper proposes narrowband interference suppression using envelop detection-based interference replica regeneration to enhance spectrum sharing among automotive CS radars. The proposed scheme regenerates narrowband interference replica by periodical interference sensing and envelop detection, and it suppresses the interference by using it. Prototype 79GHz CS radar has been developed, and the experimental results confirm the feasibility of the proposed scheme.

Monday, September 12 15:40 - 16:30

Poster1_Mon: Poster session 1

MIKON and IRS posters displayed during a coffee break
Chairs: Artur Gromek (Warsaw University of Technology, Poland), Adam Lamecki (Gdansk University of Technology, Poland)
Ground Penetrating Radar Antenna Alignment for Potato Detection
Qiao Cheng and Wouter van Verre (University of Manchester, United Kingdom (Great Britain)); Frank Podd (The University of Manchester, United Kingdom (Great Britain)); David Daniels and Anthony Peyton (University of Manchester, United Kingdom (Great Britain))

Ground penetrating radar (GPR) is an emerging technology for crop monitoring and assessment in agriculture. The performance of GPR is directly related to its antenna radiation patterns. In this paper, the effects of bowtie antenna alignment is investigated for potato detection from the side of the ridge. Bowtie antenna shows different patterns in its E-plane and H-plane. This difference result in distinct performance with different antenna alignments. Simulation results suggest that the best detection sensitivity can be achieved by aligning the bowtie antenna's long axis with the scanning direction and stacking the antennas in the short axis direction.

Joint Antenna Selection and Beampattern Design Strategy for Multi-Target Tracking in Colocated MIMO Radar System
Haiwei Ren, Wei Yi, Xiujuan Lu and Chengxin Yang (University of Electronic Science and Technology of China, China)

In this paper, a joint antenna selection and beampattern design (JASBD) strategy is developed for multi-target tracking in the colocated multi-in multi-out (C-MIMO) radar system. The radar operates in a multibeam working mode, where multiple transmit beams are synthesized simultaneously by digital beamforming. In this scenario, the JASBD strategy is devised to reduce the number of antennas used while maintaining the desired tracking accuracy. Since the posterior Cramér-Rao (PCRLB) bound provides a lower bound on the accuracy of the target state estimates, the JASBD strategy takes it as the optimization criterion and proposes a nonconvex optimization problem of antenna select vector and waveform correlation matrix. To address the resulting optimization problem, an efficient two-step algorithm is devised by using convex relaxation method, subject to given accuracy constraints. Numerical results demonstrate that the proposed strategy can save antenna resources significantly while meeting the different target accuracy requirements.

Multi-Channel Radar Signal Processor Design for Detection of Multiple Manoeuvring Targets
Chandrakanth V (Defense Research and Development Organization, India); Sumohana Channappayya (Indian Institute of Technology Hyderabad, India); Shivpal Singh (Defense Research and Development Laboratory, Hyderabad, India)

Signal processor design for detection and tracking of high speed manoeuvring targets is still a challenging problem in radar literature. To effectively process and extract actionable information from this class of targets, high pulse repetition frequency (HPRF) radars are employed. HPRF radars transmit a large number of pulses in short duration of time to swiftly gather information about the target of interest. Because of this high sampling rate, HPRF radars provide accurate relative velocity (Vr) information but have ambiguity in range estimations. How- ever, to unfold the target trajectory using only Vr information requires additional data about the initial conditions of the target and velocity of the transmitter. The HPRF processing is further complicated if there are multiple manoeuvring (accelerating or decelerating) targets in scene. The reflections from this class of targets are spread out in both time and frequency rendering classical signal processing techniques futile. In this work we propose a multi-channel radar signal processor (RSP) design to address the afore mentioned limitations. The proposed RSP consists of two parallel channels designed to address the problems of range ambiguity, doppler extraction and doppler association. We demonstrate the effectiveness of the proposed approach using several challenging use cases. We propose fusion of compressed sensing (CS), doppler filter bank (DFB) and fractional Fourier transform (FrFT) operating in a parallel channel architecture to achieve the objective. All the channels are designed to work synchronously complementing each other for an effective and robust RSP design.

Enhancement of Patch Antenna Gain by Means of Wire Bond Radiation Effect in W Band
Grzegorz Bogdan, Jakub Sobolewski and Yevhen Yashchyshyn (Warsaw University of Technology, Poland)

Wire bonds are one of the most common interconnects used in microelectronics, however, its application to millimeter wave monolithic microwave integrated circuits (MMICs) may severely decrease the overall system performance due to the high transmission loss, radiation loss, and reflection mismatch. This paper presents a wire-bonded patch antenna designed to operate in the frequency range from 81 to 83 GHz which is a part of the IEEE W band. Obtained results show that the proposed structure demonstrates two major advantages. Firstly, it does not require any external matching network, hence, it can be directly connected to a contact pad of an MMIC die. Secondly, the wire bond radiation effect is utilized to enhance the patch antenna gain at the broadside direction.

Antenna Rotator Design by 3D Printing
Ondřej Šimon (University of Defence & Brno University of Technology, Czech Republic); Miroslav Popela (University of Defence, Czech Republic)

Due to the problematic availability or expensive purchasing costs of anechoic chamber for antenna parameter measurements, it would be worth considering a design of a low-cost alternative. This paper presents the design and implementation of an antenna platform that could be used for the measurement of basic radiation parameters of low power antennas. The antenna platform is manufactured by 3D printing. The aim of this paper is to describe the design and realization of the antenna rotator with focus on high accuracy of position control, variability of possible use (different types of antennas made of different materials) and low cost.

A Persymmetric GLRT for Adaptive Range Spread Target Detection in Non-Homogeneous Environment
Zeyu Wang (Beijing University of Posts and Telecommunications, China); Mugen Peng (Beijing University of posts & Telecommunications, China)

The problem of range spread target detection embedded in subspace interference and compound Gaussian noise with gamma texture is dealt with. The interference belongs to a known subspace but the coordinates are unknown. Exploiting the persymmetric structure of noise covariance matrix, a persymmetric generalized likelihood ratio test is proposed. The performance assessment demonstrates the superiority of the proposed detector.

Octave-Band Three-Beam Scalable Antenna Array Fed by Broadband 4 × 4 Butler Matrix
Andrzej Dudek, Piotr Kanios, Krzysztof Wincza and Slawomir Gruszczynski (AGH University of Science and Technology, Poland)

A novel concept of a three-beam antenna array operating in a one-octave frequency range is proposed in this paper. The antenna array allows stable beams' direction and beamwidth. To achieve constant radiation patterns the antenna elements are appropriately spaced and fed by a network consisting of a broadband Butler matrix to which outputs directional filters are connected. The radiating elements are placed in such a way that two similar subarrays can be distinguished, one for the lower and another for the higher end of the desired frequency range. Signal switching between the subarrays and differential phase between pairs of radiating elements is ensured by directional filters and Butler matrix, respectively. The concept has been verified by measurements of the antenna array operating in a 2-4 GHz frequency range.

Prediction of Multifunction Radar Behavior via Transformer
Cheng Lv (University of Electronic Science and Technology of China, China)

It is challenging and critical for the electronic intelligence system to model the historical behavior sequence and predict the transmission signal at the next instant. The performance of conventional methods based on sequential neural networks are limited by the inability to capture long-distance interdependence. This paper thus develops a transformer-based radar behavior prediction model resorting to the bidirectional self-attention mechanism and mask language model to predict the radar signal. Experiments on the simulated dataset considering a multi-target tracking scenario highlight that the proposed method is capable of achieving better prediction performance than Markov Chain (MC) and Long Short-Term Memory (LSTM) methods.

The Linear Array 2x1 of Slot Monopoles for 6-8.5 GHZ UWB Standard
Tomasz Leliwa and Wlodzimierz Zieniutycz (Gdansk University of Technology, Poland)

The results of numerical simulations and measurements of 2x1 linear array of slot monopoles are presented in the paper. At the begining a single slot monopole was designed and fabricated and its electrical parameters were measured and compared to the results of simulations. Next the 2x1 linear array of these monopoles was numerically tested for various configurations of the feeding network. The results of the simulations were compared to the measurements of the parameters of fabricated array.

Research on Multi-Channel Signal Processing Method of Pulsed Millimeter-Wave SAR
Hui Wang (Key Laboratory of Millimeter Wave Imaging Technology & Shanghai Institute of Satellite Engineering, China); Sili Wu (Key Laboratory of Millimeter Wave Imaging Technology, China); Ying Sun (China); Yulong Fu (Shanghai Institute of Satellite Engineering, China)

In order to achieve the comprehensive observation purpose of high-resolution and wide mapping goal, based on the multi-channel synthetic aperture radar (SAR) signal model in the millimeter wave band, the SAR imaging processing process with range multi-channel and azimuth multi-channel is analyzed, and the data of inertial guidance equipment is introduced to achieve parameter calibration for the problem that non-uniform channel reconstruction is easily affected by attitude error. Based on the Ka-band multi-channel SAR airborne flight data of Shanghai Institute of Satellite Engineering, the method is verified. The data processing results show that through two-dimensional multi-channel data processing, the azimuth ambiguity characteristics of SAR images under down-sampling can be effectively improved, and the noise characteristics can be optimized, and the image interpretability can be improved. The research results can provide a reference for the development and application of millimeter-wave multi-channel SAR imaging system.

Function Recognition of Multi-Function Radar via CNN-GRU Neural Network
Hongyu Chen, Kangan Feng and Yukai Kong (University of Electronic Science and Technology of China, China); Lidong Zhang (Air Force Academy, China); Xianxiang Yu and Wei Yi (University of Electronic Science and Technology of China, China)

In the field of cognitive electronic reconnaissance, recognizing the function (A variety of work modes arranged in temporal sequence) of the multi-function radar (MFR) is critical for electronic warfare equipment to develop effective countermeasures. However, research in this field is still very lack. Therefore, this paper proposes a convolutional neural network and gated recurrent units (CNN-GRU) to achieve MFR function recognition. The one-dimension convolutional neural network (1D-CNN) structure can be adapted to significantly reduce the computation time when processing a long input sequence, as well two 1D-CNNs are utilized to extract the higher-order sequential features of pulse repetition frequency (PRF) and pulse width (PW) in intercepted pulse stream sequence, respectively, while the GRU learns the higher-order sequential features to output the recognition results. The advantages of the proposed method in recognition accuracy and testing time are all verified by extensive experiments with ablation studies.

Microwave Oven for Apple Pomace Drying
Wlodzimierz Przybylski (DELTIMA, Poland); Bartłomiej Kola (Warsaw University of Technology, Poland); Paulina Lipinska-Palka (DELTIMA, Poland); Michał Marcin Kalisiak (Warsaw University of Technology, Poland); Adam Abramowicz (Institute of Electronic Systems, Warsaw University of Technology, Poland)

The microwave oven excited with 10 magnetrons is used to heat and dry apple pomace. The cavity is overmoded. The apple pomace with different water content have been measured in the frequency range including the magnetrons output frequency. Measured complex permittivities have been used to improve drying process.

Auxiliary Design Methods for Gyrotron Cavities
Edward F Pliński and Kacper Nowak, KN (Wroclaw University of Science and Technology, Poland)

The theoretical description of the physical phenomena in a gyrotron lamp is complicated - topics such as the theory of irregular waveguides or the equations of mathematical physics such as string theory should be addressed. Dispersion relations are a convenient way to illustrate the physical phenomena occurring in the world of waves unfolding in the cavity of the gyrotron tubes.

VNA Measurement Calibration in Cryogenic Environment
Przemyslaw M Bryndza (Max Planck Institute for Radioastronomy, Germany)

A scheme using Commercial Off-The-Shelf components to perform a VNA calibration in cryogenic environment is reported. The work involves IC evaluation in temperatures down to 4K, 2 port calibration procedure using 6 standards and evaluation of the method.

Mechatronics Engineering Aspects of VHF Band Antenna Design of Industry 4.0 Applications
Masuk Abdullah and Istvan Balajti (University of Debrecen, Hungary)

The paper focuses on space & commercial aircrafts' Very High Frequency (VHF) communication ‘Dipole-Fed Corner Reflector' (DFCR) antenna design with mechatronics engineering method & application in industry 4.0. It aims to collect relevant articles describing recent modern perspectives in antenna design for metric-wave Cyber-Physical system (CPS) applications. Areas of interest for this issue include but are not limited to mechatronics engineering synergy of antenna design techniques such as weight reduction, size optimization and arrays characteristics optimization.

Custom Six-Port Structure for RF Phase Drift Long-Term Detection
Maciej Urbanski, Grzegorz Emil Pietrzykowski and Krzysztof Czuba (Warsaw University of Technology, Poland)

A critical factor that limits the overall performance of an interferometric phase stabilization link in a phase reference distribution system (PRDS) is performance of a phase detector. For SINBAD PRDS link prototype a custom six-port based structure has been developed, compared to other analog-based RF phase detector circuits and the results are presented in this publication.

Low-Cost High-Resolution SAR Imaging on Drone with Mechanical Antenna Stabilization
Maciej Wielgo, Damian Gromek and Piotr Samczynski (Warsaw University of Technology, Poland); Krzysztof Stasiak (XY-Sensing Ltd., Poland); Marek Gaweł (Korbowo Ltd., Poland)

This paper presents a small and compact K-band synthetic aperture radar (SAR) system with mechanical antenna stabilization - based in an unmanned aerial vehicle (UAV). The article presents first imaging result for this configuration, and also describes the system architecture and the implemented SAR imaging algorithm. High-resolution radar image creation requires precise trajectory estimation which is provided using a GPS position sensor and inertial measurement unit (IMU) together with SAR autofocus algorithm. The drone carrying the radar is equipped with gimbal for antenna, which automatically compensates platform rotational movements.

A Modified Technique for Characterization of Baluns Based on One-Port S-Parameter Measurements
Michal Abramowicz and Wojciech Wiatr (Warsaw University of Technology, Poland)

We present a modified technique for characterization of a three-port test set utilized to connect balanced one-port infrared detectors to single-ended port of measurement instrumentation. The test set operating as a balun is dedicated to spectral measurements of the noise power density of photodiodes mounted inside TO-8 package. Its scattering matrix needs to be known to deembed the noise and impedance performances of the photodiodes. Due to nonstandard ports on the balanced side of balun, this matrix is determinated indirectly with a calibration based on the reflection coefficients measured at single-ended port, while changing load conditions on the both other ports. To this end, we employ Open, Short and 50 Ω Match loads, whose characteristics have been obtained with electromagnetic field simulations. We calibrate the test set using the calibration loads combined in seven different pairs. The calibration results agree well with characteristics calculated using circuit simulations on measured S-matrices of the test-set components.

LFM Signal Detection and Parameter Estimation Possibility Analysis in High Noise Environment
Minh Van Duong (University of Defence & Le Quy Don Technical Uiversity, Czech Republic); Jiri Vesely and Petr Hubacek (University of Defence, Czech Republic)

The problem of detection and parameters of radar signals with linear frequency modulation in a highly noisy environment is studied in this paper. The main objective of this paper is first to detect or estimate the chirp-rate of the linear frequency modulation signal based on the cross-correlation function in the mixture of white Gaussian noise and interference CW signal; then the proposed method is used to estimate the pulse width of this signal. Firstly, the proposed method is investigated with simulation linear frequency modulation signals in MATLAB to determine the lowest value of the signal-to-noise ratio at which this method is still able to achieve a perfect probability of correct estimation. Based on the simulation results, the proposed method is investigated with real-time linear frequency modulation signals to verify its functionality. The experimental results confirm that the proposed method is able to detect and estimate the parameters of linear frequency modulation signals with the signal-to-noise ratio higher than -10 dB.

Calibration of a Six-Port-Based CW Radar Using Unknown Positions of a Target
Kamil Staszek (AGH University of Science and Technology, Poland)

In this paper a new approach to calibrate continuous-wave radars that utilize a six-port interferometer, is proposed. The presented procedure makes use of an arbitrary number of unknown target's positions and is suitable for nearfield application. With this calibration method also a target that changes its radar cross-section along the measured distance can be used. The procedure was tested utilizing a six-port-based continuous-wave radar operating at 2.35 GHz for various number of target's positions and their spread, showing the obtainable distance measurement error not exceeding 0.012 of the wavelength. Furthermore, the obtained measurement error distribution allows for defining simple and practical guidelines for calibrating radars with the use of the proposed method.

The Use of Double-Balanced Microwave Mixers as Wideband Analog Noise Signal Correlator
Waldemar Susek (Military University of Technology, Poland); Adam Slowik (Military University of Thechnology, Poland)

Using of the multiplication circuits as broadband microwave analog correlator has been presented in the article. Main aim of the work was to check if a double-balanced mixer commonly used in quasi-linear frequency conversion systems can be a multiplier. Theoretical considerations presented in chapter 1 were carried out for this purpose. Two measuring systems were constructed for the purpose of determining the autocorrelation function of the band-limited noise signal. The tests were carried out with the noise signal in bandwidth B = 540 MHz at center frequency f0 = 2500 MHz. The power of this signal was regulated by microwave amplifier and fixed attenuators. The bandwidth was determined by two filters made on the asymmetrical stripline technique. Obtained measurement results and necessary analyzes of these results in chapters 2 and 3 are presented and the whole is summarized with conclusions.

Optimization of CSRR Based RF Sensor for Detecting Ethanol in Petrol
Sheena Hussaini (Nokia of America Corporation, USA); Azeemuddin Syed and Kunal Wadhwani (International Institute of Information Technology(IIIT) Hyderabad, India)

This paper reports solvent based optimization of radio frequency (RF) sensors for detecting ethanol mixtures in petrol using complementary split ring resonators (CSRR). The optimization is carried out using binary particle swarm optimization (BPSO) in presence of mask by placing the sample under test (SUT) above the highly sensitive CSRR region. The motivation behind this work has been to detect miniscule changes of ethanol in the dielectric constant of the petrol. The RF sensors designed using BPSO enables faster convergence providing more efficient designs offering enhanced sensitivity at a normalized frequency of 2.66% and 1.81% when compared to 1.02% of conventional CSRR as presented in the simulation results.

Application of the Dynamic Time Warping Method for Automatic Detection of Defects in Composite Structures
Kamil Adam Kamiński (Military University of Technology, Poland)

The article presents tests of samples containing defects that may occur in composite and hybrid structures used in aviation. The studies were carried out using a time domain spectroscopy (TDS) scanner. Moreover, an attempt was made to automate the analysis of the obtained results, thanks to the application of the method of dynamic time wrapping (DTW). The paper uses the DTW method to automatically compare time signals without defects (reference) and signals potentially having defects, which then made it possible to locate defects in the tested composite plate and determine the depth of their occurrence.

A Practical Study on Optimization of Big Data Streaming and Data Analytics Infrastructure for Efficient AI-Based Processing
Mustafa Onur Izmitlioglu (Marmara University & VeNIT Research Laboratory, Turkey); Mujdat Soyturk (Marmara University, Turkey)

In today's world, the potential of gathering insights from the data increases, proportional to the capabilities of data acquisition hardware being produced. Data has become more valuable than ever in every domain, including Internet of Things(IoT) and especially Industrial Internet of Things (IIoT). An important factor to maximize the production quality is to enable predictive maintenance in production. Manufacturing domain is one of the key sectors where IIoT solutions have become a necessity rather than an option to compete and shine out in the market. In this paper, a reference architectural model is proposed and applied for a manufacturing use case in practice which visualizes the immense effect of making use of big data frameworks and technologies in order to improve the predictive maintenance during the production process, resulting in the improvement of reliability.

OFDMA Communication Scheme for Sub GHz Band
Marek Honek (University of Technology, Czech Republic); Christoph F Mecklenbräuker (TU Wien, Austria); Bernhard Isemann (Vienna University of Technology, Austria)

We report on the development of a Wireless Regional Area Network (WRAN) radio protocol which is based on Orthogonal Frequency Division Multiplex (OFDM) and closely follows the IEEE 802.22 specification. The protocol design is targeted for operation in the Very High Frequency (VHF) and Ultra High Frequency (UHF) portion of the radio spectrum. An experimental prototype is assembled and its radio protocol is implemented on the Software Defined Radio (SDR) platform LimeSDR for the frequency bands 52-54 MHz, 144-146 MHz, and 430-440MHz with a custom-designed radio frontend. A C++ program running on the prototype assembly has been written. A simplified version of the new radio protocol was implemented and first frames were already successfully transmitted.

Reduced-Length Tandem Directional Couplers Designed in Microstrip Technique for Use in Balanced Amplifiers
Robert Smolarz, Kamil Staszek, Krzysztof Wincza and Slawomir Gruszczynski (AGH University of Science and Technology, Poland)

In this paper, a tandem connection of hybrid couplers realized in microstrip technique is presented. The proposed structure is designed as a tandem connection of two coupled-line sections having different electrical lengths and the same value of coupling coefficient. Such approach can be used to reduce overall size of the component, what is more attractive in comparison to the classic tandem hybrid topology. Furthermore, to verify possibility of implementation in conjunction with active devices, the proposed directional coupler is implemented in two balanced amplifiers utilized in PCB and MMIC technologies operating at 1 GHz and 20 GHz, respectively.

Dielectric Measurement of Liquids After Calibration of S11 Using a Stepped Cut-Off Circular Waveguide
Kouji Shibata (Hachinohe Institute of Technology, Japan)

A novel jig structure for S11 calibration with short/open conditions and one reference material (referred to here as SOM) in dielectric measurement of liquids using a coaxial feed type stepped cut-off circular waveguide and a formula for exact calculation of S11 for the analytical model of the structure using the method of moments (MoM) was proposed. The accuracy and validity of S11 values calculated using the relevant formula was then verified for frequencies of 0.50, 1.5 and 3.0 GHz, and S11 measurement accuracy with each termination condition was verified after calibration with SOM by combining the jig of the proposed structure with the study's electromagnetic (EM) analysis method. The complex permittivity was then estimated from S11 values measured with various liquids in the jig after calibration, and differences in results obtained with the proposed method and the conventional jig, the analytical model and the EM analysis method were examined. The validity of the proposed dielectric measurement method based on a combination of the above jig structure, numerical S11 calculation and the calibration method was thus confirmed.

Investigating Microwave Properties and Modulating Dampling Parameter in NM/FM Thin Films for Spintronics Applications
Dhananjay Tiwari (Aptiv Services Poland SA, Poland)

Microwave properties were investigated in Ta/NiFe (Ni81Fe19 ≈ Permalloy, Py) bilayer system using electrically driven spin torque ferromagnetic resonance (STFMR) technique focusing particularly using frequency sweep measurements. Frequency sweep STFMR signals were detected via spin Hall effect of Ta. This technique was optimized for achieving high signalto-noise (SNR) ratio and anisotropic magnetoresistance of 1.5% was achieved. The Gilbert damping constant can be tuned by applying an electric current which shows the evidence of spin torque in the system. The value of α is found to be 0.0085 ± 0.0002 at Idc = 0mA. Further, θSH = 0.160±0.027, an important parameter describing performance of Ta was calculated and the parameters quantified corroborate with widely used field sweep technique discussed in literature. The interface transparency, T =∼ 0.40, is large which accounts for the flow of spin current density that diffuses into the Py layer via SHE of Ta. Further, these studies are beneficial for the development of Ta/Py based spin Hall nano-oscillators (SHNO) which can generate RF power by application of dc current.

Wide-Locking Range Divide-By-4 Injection-Locked Frequency Divider for Radar Communications
Wen Cheng Lai (National Taiwan University of Science and Technology, Taiwan)

A wide locking range divide-by-4 LC injection-locked frequency divider (ILFD) was implemented in the tsmc 0.18 μm 1P6M CMOS process for radar communications. The divide-by-4 ILFD uses a capacitive cross-coupled voltage-controlled oscillator (VCO) with one direct injection MOSFET. The dc gate bias of cross-coupled FETs is smaller than dc drain bias. The dc gate bias of injection MOSFET is smaller than dc drain/source bias. At the drain-source bias of 1.1 V, and at the incident power of 0 dBm the locking range of the divide-by-4 ILFD is 3.53 GHz (36.04%) from 8.03 to 11.56GHz. The core power consumption is 10.6mW.

Historical Aspects of the Gyrotron Development
Edward F Pliński (Wroclaw University of Science and Technology, Poland)

The work discusses the historical aspects of the development of the theory of the microwave lamp, later called the gyrotron. The tedious struggle to develop a device that could beat the existing devices in the field of vacuum electronics is presented against the background of the history of the development of physics. The principle of gyrotron operation is presented in the paper, but a lot of space is devoted to people, scientists and organizers of science, who came up with the idea of building such a device.

Monday, September 12 16:30 - 18:10

M07: Active Devices and Circuits

Room: James Clerk Maxwell (Aula)
Chairs: Krzysztof Nyka (Gdansk University of Technology, Poland), Daniel Pasquet (ENSEA, France)
16:30 Down-Conversion Gilbert Cell Mixer Design for 5G Wireless Communications Systems
Fatmanur Talay and Mustafa Berke Yelten (Istanbul Technical University, Turkey)

This paper presents a down-conversion Gilbert Cell mixer design operating in the 28 GHz millimeter-wave (mmWave) frequency band in 5G applications. The current bleeding technique is used to increase the conversion gain (CG) in the down-conversion mixer circuit, which leads to high linearity (IIP3) and low noise figure (NF) performance. An intermediate frequency (IF) buffer has been coupled to the mixer outputs to improve linearity performance and facilitate impedance matching. The proposed mixer circuit is designed using a commercial 40 nm low-power CMOS technology. The mixer core and the IF buffer draw 43.61 mA from a 1.1 V supply voltage. According to post-layout simulation results, the mixer performs with a conversion gain of −2.32 dB, a noise figure of 9.482 dB, and IIP3 of 12.667 dBm in the 28 GHz millimeter-wave (mmWave) frequency band, including the current bleeding structure and the output buffers. Finally, high isolation between ports has been established, with LO-RF isolation below −50 dB, LO-IF isolation below −40 dB, and RF-IF isolation below −40 dB.

16:50 Low Frequency Noise Study for Developing of AlGaAs and GaAsBi QW Structures for NIR LDs
Justinas Glemža (Vilniua University, Lithuania); Sandra Pralgauskaitė and Jonas Matukas (Vilnius University, Lithuania); Simona Pūkienė, Andrea Zelioli, Andrius Bičiūnas, Bronislovas Čechavičius, VIktorija Nargelienė and Renata Butkutė (Center for Physical Sciences and Technology, Lithuania)

Low noise characteristics of Fabry-Perot type laser diodes emitting at (780 - 1100) nm wavelengths were investigated for optimization of geometry and composition of the active layer. The laser structures, consisted of (Al, Ga)As and Ga(As, Bi) rectangular or parabolic quantum wells in the active area, were grown by the molecular beam epitaxy on the n-type GaAs(100) substrate. The photolithography was performed to fabricate the edge emitting laser bars of 5 micrometer to 500 micrometer in size. The characteristic LD threshold current varied in the range of (60-120) mA. Low frequency optical and electrical noise spectra have been measured in temperature range from 70 K to 290 K and comprised of 1/f and Lorentzian type components. The positive cross-correlation between optical and electrical fluctuations was observed.

17:10 An 85 GHz Low-Power Low-Noise Amplifer with 15 GHz Bandwidth in 22nm FDSOI CMOS Technology for 5G Communication Applications
Amir Bozorg (University College Dublin, Ireland); Slawomir Gruszczynski (Wroclaw University of Technology, Poland); Robert Bogdan Staszewski (University College Dublin, Ireland)

In this paper, a very low-power low-noise millimeter wave amplifer (LNA) with noise cancellation and noise reduction techniques is proposed. The proposed LNA architecture uses a common-gate input branch to provide wideband input matching. It is followed by one stage of the common-source structure which cancels the noise and distortion of the frst stage. The proposed noise reduction technique is applied to reduce the thermal noise of nMOS transistor in the second stage which reuses the current of the input stage to be biased. The input and output matching networks are used at the input and output nodes to provide 50 Ω matching. The provided circuit-level analysis is verifed by simulations. The proposed LNA is designed in 22-nm FDSOI CMOS technology. It achieves a minimum noise fgure (NF) of 4.5 dB over 77-90 GHz bandwidth while consuming only 3.2 mW from a 0.8 V supply and driving an external 50-Ω load. The −3 dB power gain (S21) is 8.4 dB and IIP3 and IIP2 are −10.5 dBm and +25.9 dBm, respectively.

17:30 Deployment of a Broadband Test Fixture for Characterization of High-Volume TO-Housed Devices
Mateusz Żbik (VIGO System S.A., Poland); Wojciech Wiatr (Warsaw University of Technology, Poland)

We describe a novel system for automatic characterization of TO-canned devices, i.e. mid-infrared (mid-IR) photodetectors manufactured in the VIGO Photonics factory. The system comprises a broadband test fixture for interconnecting the device under test (DUT) to a affordable vector network analyzer (VNA). For high-volume testing we employed an automation software for controlling the measurement procedure and fitting a small-signal model. In this way we minimized the need for human input and thus increased effectiveness of receiver optical sub-assemblies (ROSAs) manufacturing.

M08: Non-planar filters and waveguide filter

Room: Carl Friedrich Gauss (room 300)
Chairs: Adam Abramowicz (Warsaw University of Technology, Poland), Cristiano Tomassoni (University of Perugia, Italy)
16:30 Filter Design Using Double Extracted Zeros
Richard V. Snyder (RS Microwave Company Inc., USA); Simone Bastioli (RS Microwave Company Inc, USA)

In [1] a single transmission zero was used to enhance the lower stopband of an all-pole bandpass filter, using a capacitively loaded coaxial stub inductively coupled to the first and/or last resonator of the bandpass circuit. An improvement was presented in recent publications [2], [3], [4], showing that it is possible to produce in-line bandpass designs with a transmission zero near the passband, using the same physical resonator structure as employed in the bandpass portion. This has been accomplished using shunt resonators weakly coupled (using a virtual inductive coupling) to the first and/or last bandpass resonator, resulting in a configuration that locates the zero near a passband edge, with the concomitant pole located far from the passband. Both the original and the improved circuits have thus been called "Extracted Zero". Use of the same physical resonator structure simplifies the physical implementation. In this paper, the "Double Extracted Zero" is introduced. Similar in physical structure to the single zero in [1], the double zero is used to provide additional depth or width to the desired zero frequency region, and can be located at either or both ends of a given passband.

16:50 Design and Realization of Bent Y-Shaped Ceramic Dual-Mode Resonators and Filters
Daniel Miek, Patrick Boe and Kennet Braasch (Kiel University, Germany); Sebastian Simmich (University of Kiel, Germany); Fynn Kamrath and Michael Höft (Kiel University, Germany)

In this paper, the design and realization of second- and fourth-order bent Y-shaped ceramic dual-mode resonators and filters is discussed. The resonators are based on uniform ceramic TM mode rods, which are connected to a metal core. This approach allows the cost-efficient realization of complex shaped dual-mode resonators. The filters are designed to use a pair of degenerated TM modes, which can be divided into a mode with electric as well as a mode with magnetic symmetry plane. Each dual-mode resonator contributes two resonances as well as one transmission zero (TZ) to the filter response. By varying the angle between the ceramic rods, it is shown that the position of the TZ can be adjusted. Due to the TM mode operation, a proper contact between the ceramic rods and the metallic housing is required. Two different solutions are proposed in this paper: On the one hand, the cavity is made from a copper sheet. This approach enables the possibility that the ceramic resonators are soldered with the surrounding housing. On the other hand, threats are soldered to the ceramics, with which the ceramic core can be screwed to the housing. Second- and fourth-order filters are manufactured as proof of concept.

17:10 TM-Mode Dielectric Resonator Filter and Diplexer with Transmission Zeros Using Extracted-Zero Technique
Patrick Boe, Daniel Miek, Kennet Braasch and Michael Höft (Kiel University, Germany)

In this paper, a TM-mode dielectric resonator (DR) filter panel is discussed, which was designed as a concept study for the implementation within UMTS base stations for Asia marked. To avoid interference with other communication bands, stronger blocking of signals in close vicinity of the lower side of the RX passband was required. To meet the stringent specification masks, the implementation of transmission zeros (TZs) is required. Within this design study, the TZs have been realized applying the extracted-zero (EZ) technique by implementing two offline resonators which are directly coupled to the first/last resonator of the filter, respectively. To the best of our knowledge, this is the first time to report the EZ technique for the application with TM-mode DR. Furthermore, the same type of ceramic rod was applied for the design of TX, RX, and EZ resonators by suitable dimensioning of their enclosure. The design is discussed and measurement performance of a filter panel prototype is presented.

17:30 Interdigital-Pin Groove Gap W-Band Waveguide Filter
Daniel Miek, Fynn Kamrath, Patrick Boe, Kennet Braasch and Michael Höft (Kiel University, Germany)

In this paper, the design and realization of an interdigital-pin groove gap waveguide filter in W-band is discussed. The groove gap waveguide technology has proven to be suitable for the realization of passive components up to high frequencies. However, the overall structure including pin width and pin distance becomes smaller for higher frequencies, which increases manufacturing difficulties. Especially the pin distance defines the manufacturing costs and effort with respect to the computerized numerical control (CNC) milling approach, which is often used for fabrication. The interdigital-pin groove gap waveguide approach is therefore applied, increasing the maximal allowed cutter diameter compared to standard groove gap waveguide technique. The design and realization of a third order W-band (75 GHz-110 GHz) groove gap waveguide filter is discussed. Special attention is paid to the manufacturing of this component and potential approaches for further reducing the manufacturing effort are proposed.

17:50 Asymmetric Dual-Mode FSIW Filters with High Stopband Rejection
Kevin Erkelenz, Noah Sielck and Anton Sieganschin (Hamburg University of Technology, Germany); Alexander Koelpin (Hamburg University of Technology); Arne F Jacob (Hamburg University of Technology, Germany)

This contribution discusses means to achieve high stopband rejection in bandpass filters. For compactness, the latter rely on dual-mode operation and a realization in folded substrate integrated waveguide (FSIW) technology. To obtain an asymmetric second-order response with two transmission zeros (TZs) in the lower stopband, the design combines a doublet of two resonant modes and a non-resonating node (NRN) with different perturbation means. The validity of the approach is demonstrated around 20 GHz with two second-order filters with differently spaced TZs and a cascaded fourth-order version. These feature a -10 dB reflection bandwidth (insertion loss) of 1.1 GHz (1.9 dB), 1.2GHz (2.0 dB), and 1.6 GHz (3.3 dB), respectively. With stopband rejection ratios between 39 dB and 58 dB, the filters satisfy image noise suppression requirements in satellite communication (SatCom) receivers. Their surface area of about 5x6mm2 and less complies with the severe spatial constraints in SatCom phased arrays.

M09: High Power Technology, Systems and Measurements

Room: Michael Faraday (room 211)
Chairs: Yuriy Prokopenko (National Technical University of Ukraine "Igor Sikorsky Kyiv Polytechnic Institute", Ukraine), Piotr Słobodzian (Wroclaw University of Technology & Faculty of Electronics, Poland)
16:30 Gyrotron Microwave Components Cold-Testing
Kacper Nowak, KN (Wroclaw University of Science and Technology, Poland); Grzegorz Jaworski (Wroclaw University of Technology, Poland)

Cold-testing of the microwave components is required in the high power applications. It is complementary method used together with full wave simulation software. Gyrotron resonator is cylindrical in shape and usually works with high order modes. Therefore conversion of the output power is required. All this microwave components are usually build inside the gyrotron tube, under vacuum. For this reason cold-testing of these components before tube assembly is very helpful technique. In this paper we propose a simple design method that allows creating TE mode generator, which can replace gyrotron resonator tube for cold-test experiment.

16:50 Application of the Millimeters Waves in Pharmacy - Spectroscopy and Imaging
Edward F Pliński (Wroclaw University of Science and Technology, Poland); Stanisława Plińska (Wroclaw Medical University, Poland)

The rapid development of the terahertz technique, and in particular the millimeter wave sources, enabled the wide application of this technique and science. The specific behavior of millimeter waves in material media gave the possibility of a wide range of applications.The work deals with many aspects of various applications, particularly in pharmaceutical sciences.

17:10 New Generation of RF On-Wafer Probe Station for Precision GSG Probing
Cerine Mokhtari and Mohamed Sebbache (University of Lille / IEMN CNRS8520, France); Clement Lenoir (University of Lille & IEMN CNRS8520, France); Christophe Boyaval, Vanessa Avramovic, Gilles Dambrine and Kamel Haddadi (University of Lille / IEMN CNRS8520, France)

To address accurate characterization of microwave and millimeter-wave extreme impedance devices, RF probing techniques and particularly the contact repeatability have to be improved. In this effort, a new generation of nanorobotics on-wafer probing station is proposed. Residual error terms introduced by this new solution are quantified in the frequency range 50 MHz - 50 GHz. The residual error terms are propagated to determine the overall measurement uncertainty on complex impedances. In particular, capacitance value of 1 fF measured at 10 GHz is estimated with an error around 17% against 80% using a conventional probe station.

17:30 Transmission Coefficient Measurement Based on Six-Port Correlator in 28-GHz-Band
Kohei Fujiwara (Tokyo Metropolitan Industrial Technology Research Institute, Japan); Makoto Yoshida (CDEX, Co., Ltd., Japan); Koichi Tokita (Tokyo Metropolitan Industrial Technology Research Institute, Japan); Toshiyuki Yakabe (Multi Port Laboratory Limited Liability Partnership, Japan)

A conventional transmission coefficient measurement is performed on a vector network analyzer based on a superheterodyne scheme. Hence, the circuit structure tends to be complicated and not cost-effective because of the implementing local oscillators, low noise amplifiers, and mixers. To simplify the circuit structure and make it affordable, we employ a six-port method, namely, the homodyne scheme. We developed a six-port correlator based on a quadrature hybrid coupler on a printed circuit board. In this paper, we demonstrated the performance of a six-port correlator in the 28-GHz-band on a transmission coefficient measurement.

M10: Focused session Women in Science and Engineering Matinee - part II

Room: Heinrich Hertz (room 352)
16:30 Women in EC Funded Projects - Challenges & Opportunities
Janine Jost (European Research and Project Office GmbH, Germany)

Globally, the issue of women's visibility in society and their chances to pursue their professional careers have been on the rise with the general acceptance that women's participation in many aspects of our daily and professional lives needs to be increased. This talk will present funding opportunities for young researchers as well as renowned scientists within the scope of the European Commission's funding programmes for research & innovation. A focus will be given to the current programme Horizon Europe. Reflections and evaluations as well as experience with previous programmes FP7 and Horizon 2020 will also be shared. Finally, specific challenges and opportunities for women in innovative EC funded projects will be shown. The presentation should inspire women in science to take advantage of EC funding opportunities to boost their careers and strengthen personal professional networks.

16:50 Women in Defense Sector
Paulina M. Epler (Raytheon Technologies Corporation, Poland)

In this talk, I will present personal experiences of working in defense industry in Poland. I will shed light and explore differences between working in Raytheon Technologies Corporation, American aerospace and defense conglomerate, and other defense companies. I will also discuss how Raytheon Technologies empowers women by prioritizing equality, diversity and inclusion in the workplace. Beside sharing my personal observation I will also focus on contracting with American defense companies in accordance with Foreign Acquisition Regulations (FAR).

17:10 The Research on Nonlinear/EM Co-Design Techniques in Villa Griffone, the Home of Guglielmo Marconi
Alessandra Costanzo (DEI, University of Bologna, Italy)

In this talk I will review the most significant techniques, in the field of linear and non-linear RF/microwave components, based on the harmonic balancing method developed for more than twenty years in the University of Bologna research labs hosted in Guglielmo Marconi's home. In particular I will consider the nonlinear-electromagnetic co-design of microwave front-ends, able to efficiently combine circuit models of the systems, connected to the antenna,s with the electromagnetic simulation of the antennas and radio channels themselves. SISO and MIMO (Multiple-Input-Multiple Output) systems circuit-level design examples will be considered, with emphasis on WPT and energy harvesting applications.

17:30 My Journey into Engineering - Thoughts from a PhD Student
Cerine Mokhtari (CNRS-IEMN - IRCICA - Université de Lille, France)

Becoming an engineer has been my dream since childhood and I could not picture myself doing anything else. I think that the most important thing when chosing a career is to do what we are really passionate about and work hard towards it. As a woman in engineering and science, I hope to see more women following their passion and love for science.

17:50 Women at QWED and Our Activities in EC H2020 and ERA-NET Projects - Remarks from Session Organisers
Marzena Olszewska-Placha and Malgorzata Celuch (QWED, Poland)

Women at QWED and our Activities in EC H2020 and ERA-NET Projects - Remarks from Session Organisers

M11: Advanced optimization methods for radar signal processing

Room: John Henry Poynting (room 264)
Chairs: Antonio De Maio (University of Naples "Federico II", Italy), Jacek Misiurewicz (Warsaw University of Technology, Poland)
16:30 A Compressive Sensing-Based Approach for Millimeter-Wave Imaging Compatible with Fourier-Based Image Reconstruction Techniques
Amir Masoud Molaei and Rupesh Kumar (Queen's University Belfast, United Kingdom (Great Britain)); Shaoqing Hu (Brunel University London, United Kingdom (Great Britain)); Vasiliki Skouroliakou and Vincent Fusco (Queen's University Belfast, United Kingdom (Great Britain)); Okan Yurduseven (Queen's University Belfast & Duke University, United Kingdom (Great Britain))

The unique characteristics of the millimeter-wave (mmW) frequency band have led to its widespread use in various fields such as communications, imaging, and wireless sensing. This paper addresses two different mmW imaging structures, monostatic and multistatic, in the face of a sparse spatial sampling scenario. By using compressive sensing theory, a solution for image reconstruction, consistent with fast Fourier-based techniques, is presented with compressed data obtained from monostatic imaging. This solution is then generalized to a multiple-input multiple-output (MIMO) imaging case using a multistatic-to-monostatic conversion. Reconstructed images from numerical and experimental data show the satisfactory performance of the presented approach.

16:50 Lp-Norm Minimization of Auto and Cross Correlation Sidelobes in MIMO Radars
Ehsan Raei (SnT - Interdisciplinary Centre for Security, Reliability and Trust, University of Luxembourg, Luxembourg); Mohammad Alaee-Kerahroodi (Interdisciplinary Center for Security, Reliability and Trust, Université du Luxembourg, Luxembourg); Prabhu Babu (CARE, Indian Institute of Technology, Delhi, India); Bhavani Shankar Mysore R (Interdisciplinary Centre for Security, Reliability and Trust & University of Luxembourg, Luxembourg)

In this paper, we consider designing a set of constant modulus sequences with good auto- and cross-correlation properties in multiple-input multiple-output (MIMO) radar systems. In order to minimize the sidelobes level, we chose lp-norm (p > 2) minimization of auto- and cross-correlation under constant modulus constraint as the design metric. This metric gives a good degree of freedom to the radar designers and cognitive radar systems to adopt the waveform in different situations. For instance, choosing the $p$ helps to achieve different properties of waveforms, such as good integrated sidelobe level (ISL), peak sidelobe level (PSL) and sparsity. The problem formulation of lp-norm minimization leads to a non-convex and NP-hard optimization problem. To tackle the problem we deploy an iterative framework based on block successive upper bound minimization (BSUM) method. In this framework we convert the weighted lp-norm problem to a simpler quadratic form then we optimize the problem with respect to a vector iteratively. For each iteration, the approach to obtain a local optimum solution is gradient descent (GD) based method. Numerical results show that the proposed method meets the ISL lower bound and outperforms the state of the art methods based on PSL criterion.

17:10 Array Position Optimisation for Compressed Sensing MIMO Radar Based on Mutual Coherence Minimisation
Saravanan Nagesh (Fraunhofer FHR, Germany); Joachim H. G. Ender (Fraunhofer FHR & Universität Siegen, Germany); María Antonia González-Huici (Fraunhofer FHR, Germany)

In this paper, an optimization methodology for re-positioning antenna elements of a collocated Compressed Sensing (CS) based Multiple Input Multiple Output (MIMO) radar, to improve target detection performance, by minimizing the mutual coherence of the associated sensing matrix has been suggested. We initialize the problem as a mutual coherence of the sensing matrix resulting from a simple 3Tx/4Rx Uniform Linear Array (ULA) restricted by an array aperture of specified size, and then reposition the elements within the restricted aperture such that the value of mutual coherence reduces. The optimization problem is formulated as minimizing the infinity norm of the Gramian of the associated sensing matrix, the global optimization solver simulated annealing is considered to solve the nonconvex problem. The optimized array's performance is evaluated against a ULA, Co-prime array, and Sparse array by comparing metrics such as the probability of perfect reconstruction (Recovery percentage) and Recovery error (root mean square error (rmse)) for scenes with multiple targets and different SNR values, using Monte Carlo simulations. The study demonstrates the methodology to generate a random array, which results in low mutual coherence of its respective sensing matrix, which consequently results in improved performance of the CS-MIMO radar.

17:30 Random-Padded OTFS Modulation for Joint Communication and Radar/Sensing Systems
Pavel Karpovich (Nokia, Poland); Tomasz P. Zielinski (AGH University of Science and Technology, Poland)

This work presents a new random padded orthogo- nal time-frequency-space modulation (RP-OTFSM) for usage in joint radar-communication systems which is a modification of the zero-padded scheme (ZP-OTFSM). It is shown in the paper that exchanging in the delay-Doppler domain the impulse/Kronecker pilot surrounded by zero guard zone by random-value pilot with cyclic prefix does not cause any decrease of the transmission quality while offering increasing efficiency of OTFSM-based radar systems. Such effect is got due to significant lowering the level of side-lobes in the self ambiguity function (AF) of the transmitted signal as well as due to removing zero fragments from it. This feature is demonstrated through computer simulation of OTFSM-based transmission making use of the known ZP- OTFSM and the proposed RP-OTFSM for standard ITU/ETSI EVA fading channel as well as for high-speed train (HST) channel. For both cases bit error rates (BER) are calculated and AF are computed.

17:50 Optimized-Slope FMCW Waveform for Automotive Radars
Robin Amar (University of Luxembourg, Luxembourg); Mohammad Alaee-Kerahroodi (Interdisciplinary Center for Security, Reliability and Trust, Université du Luxembourg, Luxembourg); Bhavani Shankar Mysore R (Interdisciplinary Centre for Security, Reliability and Trust & University of Luxembourg, Luxembourg); Prabhu Babu (CARE, Indian Institute of Technology, Delhi, India)

Vast deployment of FMCW radars in multiple passenger and commercial vehicles has led to a significant vehicle- to-vehicle radar interference. The interference in all of these cases is largely due to simultaneous use of shared spectrum when operating in the detection range of the other sensors and the inherent lack of coordination between radars resulting from the lack of a centralized control and resource allocation mechanism. In classical FMCW waveforms this problem has been solved by using multiple chirp signals with different slopes. But the way of selecting slopes for different chirps may not be optimal and introduces long measurement time and high ISL value for the range-Doppler plan. In this paper, we propose an optimization framework based on Majorization-Minimization (MM) algorithm to design multiple chirp waveforms with different slopes that provide small ISL values in its range-Doppler plan. To this end, we formulate the optimization problem based on designing a waveform with quadratic phase segments. Every segment will be synthesized as a chirp after the optimal solution was obtained. In the numerical results, we show the new waveform makes the FMCW radar system less susceptible to interference, while it leads to an optimal ISL. Considering the challenging scenarios in automotive sensing, a comparison of performance of the new transmission scheme with FMCW waveform is performed.

M12: Radar On Chip

Room: Andre Ampere (room 360)
Chair: Matthew Ritchie (University College London, United Kingdom (Great Britain))
16:30 Extended Target Tracking with a Photonics-Based Radar on a Packaged Silicon Chip
Salvatore Maresca (Consiglio Nazionale delle Ricerche, Italy); Giovanni Serafino and Antonio Malacarne (Scuola Superiore Sant'Anna, Italy); Filippo Scotti (CNIT, Italy); Malik Muhammad Haris Amir (Scuola Superiore Sant'Anna, Italy); Paolo Ghelfi and Antonella Bogoni (CNIT, Italy)

This paper reports the latest advancements on the signal processing for a recently presented photonics-based radar, whose transceiver has been developed on a silicon chip. The proposed system offers the opportunity to address the problem of extended target tracking, which entails not only the estimation of targets' kinematics, but also of their size. The signal processing chain consists of a pixel-wise detection strategy followed by the joint probabilistic data association rule in combination with the unscented Kalman filter. Performance assessment is carried out on real X-band radar data acquired by the system close to the Port of Livorno, Italy. By comparison with navigation data provided through the automatic identification system, the experimental results demonstrate that the processing chain is able to grant good tracking performance requiring limited computational effort.

16:50 VErsatile RAdar - RFSoC Based Radar Demonstrator for Multiple Applications
Idar Norheim-Næss, Jonas Christiansen and Jo Inge Buskenes (Norwegian Defence Research Establishment (FFI), Norway)

The Norwegian Defence Research Establishment (FFI) VeRa (Versatile Radar) is a radar demonstrator for multiple applications based on the 1st generation Xilinx RF System on Chip (RFSoC). This paper describes the hardware and software solution, and show results from wideband polarimetric measurements of sea-vessel, land target, and also Synthetic Aperture Radar (SAR) measurements. Finally, planned future developments to make use of the RFSoC flexibility and versatility to improve the sensor are discussed.

17:10 Joint Active Passive Sensing Using a Radio Frequency System-On-a-Chip Based Sensor
Matthew Ritchie, Nial Peters and Colin Horne (University College London, United Kingdom (Great Britain))

In this paper we present a dual active and passive radar experimental setup that uses the UCL ARESTOR platform. This is a multi-role RF sensor based on a Xilinx Radio Frequency System on a Chip (RFSoC) device. The system is capable of operating as an active radar, passive radar and wideband electronic surveillance receiver. Experimental results are shown that leverage 2.4 GHz passive radar experiments along with a 5.8 GHz active radar mode that are operating simultaneously observing a target of interest. Details of a bespoke designed RF front-end to access higher frequency bands are included within the paper as well as information on processing pipelines developed within the Field Programmable Gate Array (FPGA). Comparison of the target signature and how both modes could be best utilised are analysed and discussed. The target of interest within this paper is a person walking while being sensing by both modes simultaneously.

17:30 Universal RFSoC-Based Signal Recorder for Radar Applications
Filip Michalak (Warsaw University of Technology & Institute of Electronic Systems, Poland); Wojciech Zabołotny, Łukasz Podkalicki, Mateusz Malanowski, Marcin Piasecki and Krzysztof (Chris) Kulpa (Warsaw University of Technology, Poland)

The paper shows a concept and development of a universal signal recorder for radar purposes. The recorder is based on RFSoC chip by Xilinx, which is placed on ZCU111 evaluation board. The recorder is capable of acquiring signals from 8 channels synchronously at a frequency of up to 4 GHz. The streaming of the data to a computer is realized using a 10 Gbit ethernet connection using the open-source FADE protocol.

17:50 Single-Chip 77GHz FMCW Automotive Radar with Integrated Front-End and Digital Processing
Karthik Subburaj (Texas Instruments, India); Naveen Narayanan (Texas Instruments India, India); Anil V Mani (Texas Instruments, India); Karthik Ramasubramanian (Texas Instruments India Pvt. Ltd., India); Sujaata Ramalingam and Jasbir Singh Nayyar (Texas Instruments India, India); Krishnanshu Dandu (Texas Instruments Dallas USA, USA); Karan Singh Bhatia (Texas Instruments, Inc., USA); Manshul Arora, Sai Ram Prakash Jayanthi, Kameswaran Vengattaramane, Shailesh Joshi, Arun Koityar, Kavithaa Rajagopalan, Dheeraj Shetty, Ben Thomas, Vashishth Dudhia, John Roshan Samuel and Rakesh Raavi (Texas Instruments India, India); Shankar Ram NarayanaMoorthy (Texas Instruments India Private Limited, India); Abhishek Karkisaval, Pourush Sood and Sriraj Chellappan (Texas Instruments India, India); Pankaj Gupta (Radar and Analytics, Texas Instruments India, India); Abhinav Daga, Bhavani Shankar Mahamkali and Indu Prathapan (Texas Instruments India, India); Brian Ginsburg (Texas Instruments Dallas USA, USA)

This paper presents a single-chip automotive FMCW radar with integrated mm-wave and digital processing. The chip includes reconfigurable high-performance hardware for flexible waveform generation, interference mitigation, FFT, data compression, target detection and security acceleration, as well as a 76-81GHz FMCW transceiver, programmable 360MHz digital signal processor (DSP) and 300MHz microcontroller (MCU). This high-performance single-chip radar, realized in 45nm CMOS technology, is suitable for modern automotive corner radar applications.

Tuesday, September 13

Tuesday, September 13 8:20 - 10:00

T01: Focused Session - Advances in Numerical Modelling

Room: James Clerk Maxwell (Aula)
Chairs: Malgorzata Celuch (QWED, Poland), Michal Mrozowski (Gdansk University of Technology, Poland)
8:20 Convergence Study of H(curl) Serendipity Basis Functions for Hexahedral Finite Elements
László Tóth and Adrian Amor-Martin (Universität des Saarlandes, Germany); Romanus Dyczij-Edlinger (Saarland University, Germany)

We will submit the abstract at a later point in time.

8:40 Integrating Rotationally-Symmetric 2D-FEM into a Dedicated Rotationally-Symmetric Mode-Matching for Waveguide Devices
Gines Garcia-Contreras (Universidad Autonoma de Madrid, Spain); Juan Córcoles (Universidad Autónoma de Madrid, Spain); Jorge A Ruiz-Cruz (Universidad Autonoma de Madrid & Escuela Politecnica Superior, Spain)

There are many tools for the study of waveguide devices. The two-dimensional Finite Element Method is one of the most relevant ones when the objective is performing modal analysis, and Mode-Matching is widely used when analysing discontinuities in terms of modes. Due to the synergies between both methods, it is very interesting to study their combination in special cases commonly appearing in the literature, such as the problem of discrete rotationally-symmetric waveguides. In this work we present how the integration of the 2D Finite Element Method for Mode-Matching with rotationally symmetric modes must be done, so that it may employed to perform a more efficient and accurate analysis of devices composed of waveguide discontinuities.

9:00 Electromagnetic Simulations with 3D FEM and Intel Optane Persistent Memory
Maciej Jakubowski and Piotr Sypek (Gdansk University of Technology, Poland)

Intel Optane persistent memory has a potential to induce a change in how to conduct high-performance calculations requiring a large system memory capacity. This article presents the manner in which this change may look like in the case of factorization of large matrices describing electrodynamic problems arising in 3D FEM analysis of passive high frequency components. In numerical tests, the Intel oneAPI MKL PARDISO was used to solve relatively large electromagnetic problems defined using the finite element method.

9:20 S-Parameter Modeling and Optimization Using Deep Gaussian Processes
Federico Garbuglia (Ghent University, Belgium); Dirk Deschrijver and Domenico Spina (Ghent University - imec, Belgium); Ivo Couckuyt (Ghent University, Belgium); Tom Dhaene (Ghent University & IMEC, Belgium)

In recent years, many optimization strategies have been developed for microwave devices. In particular, Bayesian optimization (BO) allows to efficiently identify the optimal S-parameter response of the device under test (DUT), given few simulations or measurements. BO strategies require a stochastic surrogate model like the Gaussian Process (GP) and the definition of an objective function over the considered design parameters. When simple objective function are used, sub-optimal solution may result. Conversely, complicated objectives may require more time-consuming surrogate models. In this work, this trade-off is overcome by using deep Gaussian processes (DGP) to directly model the S-parameter magnitude (or phase) over frequency, rather than the objective function. Then, a BO strategy is built by defining an objective probability distribution on the DGP model. Finally, a comparison between the proposed technique and the standard Bayesian optimization is performed on an adequate microwave example.

9:40 Open Platform Tools to Modelling Electrochemical Phenomena in Solid Electrolyte Interphase
Lukasz Nowicki (QWED Sp. z o. o., Poland); Malgorzata Celuch (QWED, Poland); Marzena Olszewska-Placha and Janusz Rudnicki (QWED Sp. z o. o., Poland)

The paper reports recent developments of numerical methods in the framework of the EU H2020 NanoBat project. It presents an extension of the computational electromagnetics platform, previously extended to electrochemistry, to the modeling of coupled electrochemical phenomena occurring at the solid electrolyte interphase. Two new FDTD algorithms have been developed to solve coupled Poisson and drift-diffusion equations and electric double layer using FDTD method. All developments are prepared for use in modeling of industrially representative test fixtures for battery materials, under the open license of the H2020 NanoBat project.

T02: 3D Printed filters and passive components

Room: Carl Friedrich Gauss (room 300)
Chairs: Michael Höft (Kiel University, Germany), Richard V. Snyder (RS Microwave Company Inc., USA)
8:20 Bandpass Filter Based on 3-D-Printed Ceramic Resonators
Enrique López-Oliver and Cristiano Tomassoni (University of Perugia, Italy); Fabrizio Cacciamani and Luca Pelliccia (RF Microtech Srl, Italy); Vittorio Tornielli di Crestvolant (European Space Agency, The Netherlands)

A dielectric-loaded cavity filter working in the Ku-band is presented. The structure is composed of rectangular waveguide cavities loaded with 3-D-printed dielectric resonators. An example of a 4-pole filter is shown in this paper. The filter has three rectangular cavities loaded with three dielectric resonators made of alumina, which have a hexagonal shape in order to be more compatible with the 3-D printer. The three dielectrics have been designed as a single piece and operate with the dielectric mode TE01delta and the cavity mode TE101. More specifically, the mode TE01delta was exploited for cavities 1 and 3, whereas modes TE01delta and TE101 were exploited for the middle cavity. These combinations of modes were used in order to obtain transmission zeros in the upper and lower stopband of the filter, thus creating a selective response. The dimensions of the rectangular cavities have also been used for controlling the frequency modes and create in the middle cavity a dual-mode resonator capable of producing a TZ in the lower stopband.
A 4-pole filter working in the Ku-band at 14.125 GHz with a 5.3% FBW was fabricated. Measured results of the 4-pole filter are provided for validation purposes.

8:40 Tunable Microwave Filter Based on Hemispherical 3D-Printed Resonators
Artiom Olaru, Nicolò Delmonte, Stefania Marconi and Gianluca Alaimo (University of Pavia, Italy); Ferdinando Auricchio (Universita' di Pavia, Italy); Maurizio Bozzi (University of Pavia, Italy)

In this work, the design of a tunable microwave cavity filter is shown. The device is based on a hemispherical cavity resonator, whose geometry is modified by a tuning rod in order to obtain a shift in its resonant frequency. Due to the shape of the resonator, it is particularly suited for fabrication via additive manufacturing technologies. The filter has been designed to work at 5 GHz, with a bandwidth of 200 MHz. The device has been studied for a shift its central frequency in a range of ±200 MHz. A physical prototype validating the study has been fabricated and measured.

9:00 Fast Design Optimization of Waveguide Filters Applying Shape Deformation Techniques
Michal Baranowski (Gdansk University of Technology & Faculty of Electronics, Telecommunication and Informatics, Poland); Lukasz Balewski (EM Invent Ltd, Poland); Adam Lamecki and Michal Mrozowski (Gdansk University of Technology, Poland)

This paper presents an efficient design of microwave filters by means of geometry optimization using shape deformation techniques. This design procedure allows for modelling complex 3D geometries which can be fabricated by additive manufacturing (AM). Shape deforming operations are based on radial basis function (RBF) interpolation and are integrated into an electromagnetic field simulator based on the 3D finite-element method (FEM). The optimization controls two sets of design variables, i.e. the geometrical parameters of the structure and the parameters that determine the shape deformation. This approach provides great design flexibility at marginal additional cost since shape deformations require very little computational effort. A complete design procedure of a Ku-band RX waveguide bandpass filter is shown to verify this approach. The designed filter is fabricated with selective laser melting (SLM) technology. Measurements are presented in comparison with simulation.

9:20 Ceramic Additive Manufacturing for High-Performance Microwave Circuits
Witold Nawrot (Wrocław University of Science and Technology, Poland); Heike Bartsch (Technische Universität Ilmenau, Germany); Krzysztof Szostak (Wrocław University of Science and Technology, Poland); Piotr Słobodzian (Wroclaw University of Technology & Faculty of Electronics, Poland); Jens Müller (Technische Universität Ilmenau, Germany); Karol Malecha (Wrocław University of Science and Technology, Poland)

The recent advances in the ceramic additive manufacturing allow to develop structures that were unobtainable before. This opens up possibilities for spatial design of antennas, filters, microwave circuits, sensors and microsystems. Simple structures had been already reported using top-level equipment. We have analyzed the possibility to obtain similar results using less expensive devices to make the technology more accessible and introduce it to the wider public. We found that the commercially-available materials have high porosity and thus high dielectric losses. Therefore, we have developed a proprietary composition which can be used with inexpensive 3D printers. It offers high density and dielectric properties very close to well-established materials. Moreover, it has lower sintering temperature than typical ceramic materials, which allows to embed conductive traces inside of the structure. This technology has a potential to expand capabilities of microwave devices, especially in high-performance space and biosensor applications. Furthermore, we have developed a method to directly print spatial transparent polymeric structures on ceramic substrates, which allows to integrate optical and microwave circuits in one device.

9:40 3D Printed K-Band Air-Filled Waveguide Directional Coupler Integrated with PCB Using a Through-Patch Transition
Ilona Piekarz, Jakub Sorocki, Slawomir Gruszczynski and Krzysztof Wincza (AGH University of Science and Technology, Poland)

In this paper, a recently developed highly integrated hybrid fabricated stack-up is explored for the implementation of passive waveguide microwave front-end components such as power dividers/combiners. The stack-up leverages the additive manufacturing for integration of a 3D printed and metal-coated air-filled waveguide with a Printed Circuit Board (PCB) by sharing a common ground plane, which allows for further integration with active circuitry. A through-patch transition is used to interconnect the two guides. The concept was experimentally verified by fabrication and measurements of an exemplary equal power split directional coupler in WR-42 waveguide geometry to operate at f0 = 20 GHz being fed by on-PCB microstrip lines.

T03: Focused session - Complete value chains for radio frequency electronics in 5G (A)

Room: Michael Faraday (room 211)
Chairs: Alexander Gäbler (Fraunhofer IZM, Germany), Lukasz Kulas (Gdansk University of Technology, Poland)
8:20 Wide Band Dual Polarized Antenna Array for 5G mmWave Based Massive MIMO Base Station Applications
Thi Huyen Le, Oliver Schwanitz, Ivan Ndip, Tekfouy Lim, Uwe Maaß and Michael Kaiser (Fraunhofer IZM, Germany); Martin Schneider-Ramelow (Fraunhofer IZM & TU Berlin, Germany)

In this paper, a scalable and package-integrated 2x2 wide band dual polarized stacked patch antenna array developed for 5G mmWave massive MIMO base station application is presented. The array consists of four identical, separately excited stacked patch elements, which are designed and modeled at 38 GHz frequency band. A single antenna possesses a driven patch, which is vertically stacked to a parasitic element in order to extend the antenna bandwidth and gain. In each array element, two orthogonal stacked vias fed by transmission lines are used to excite the antenna and generate the dual polarization property. Simulated antenna structures were fabricated using Printed Circuit Board (PCB) technology (Astra MT77). Finally, geometrical and electrical measurements of antennas were carried out, measured results of a single antenna element in the array show very wide bandwidths of approximately 4 GHz and peak gain of 6.5 dBi for both polarization. The couplings between two polarization and between antenna elements in the array are lower than -20 dB in the achieved bandwidth.

8:40 A 39-GHz 18.5-mW LNA with T/R Switch, 15.4-dB Gain, -2.2dBm IIP3, 5.6-dB NF, for a 5G In-Cabin Basestation in 22-nm FD-SOI
Giovanni Mangraviti and Björn Debaillie (IMEC, Belgium); Piet Wambacq (IMEC/VUB, Belgium)

The 39-GHz low-noise amplifier (LNA) presented here overcomes the tough specifications on linearity for a 5G-MIMO in-cabin base station. With a pseudo-differential topology, it operates under the nominal core supply voltage, and is specifically designed for a 22-nm FD-SOI technology. This LNA comprises an input matching network - with T/R switch - that can interface to a transmitter, a first stage with source degeneration, and a common-source second stage. For the nominal receiver operation at 39 GHz, this LNA exhibits an IIP3 of -2.2 dBm, gain of 15.4 dB, noise figure of 5.6 dB, while consuming 18.5 mW. For a scenario where it is used for loopback of the transmitter digital predistortion, the LNA exhibits a gain of -8.6 dB with IIP3 of 14.9 dBm.

9:00 A Dual-Polarized 39 GHz 4x4 Microstrip Antenna Array for 5G MU-MIMO Airflight Cabin Connectivity
Kamil Trzebiatowski (Gdansk University of Technology, Poland); Jan Fromme (National Instruments (NI), Germany); Damian Duraj, Lukasz Kulas and Krzysztof Nyka (Gdansk University of Technology, Poland)

This paper presents the design, fabrication and experimental validation of a 39 GHz dual polarized 4x4 microstrip antenna array. The array consists of 16 slot coupled circular microstrip patches, fed through SMPS connectors. The procedure requiring reduced number of cables for measurement of uniformly excited antenna array is also presented. The array exhibits 18 dBi peak gain and 2.9 GHz reflection bandwidth and is intended for use in a 5G base station inside an air-plane. The presented antenna is characterized by a simple feeding system which results in a reduced number of via-holes and dielectric layers.

9:20 PN Junctions Interface Passivation in 22 nm FD-SOI for Low-Loss Passives
Lucas Nyssens and Martin Rack (Université Catholique de Louvain, Belgium); Zhixing Zhao and Steffen Lehmann (GlobalFoundries, Dresden, Germany); Jean-Pierre Raskin (Université Catholique de Louvain, Belgium)

In this paper, GlobalFoundries' 22 nm fully depleted (FD) SOI process was run on standard and high-resistivity wafers with a designed PN junctions interface passivation solution to counter parasitic surface conduction (PSC) effects. Substrate quality is monitored in terms of effective resistivity (ρeff) and losses based on on-wafer measurements of coplanar waveguides (CPW), fabricated in either bottom or top metal layers. Several PN patterns are examined and they demonstrate effective passivation of the PSC, enabling ρeff values in the kΩ.cm range. Patterns with intrinsic region separating the P- and N- doping regions show better performance, which can further be improved applying a reverse PN bias to widen the depletion regions. 50 Ω CPW line designed with PN interface passivation achieves 0.15 dB/mm lower propagation losses at 15 GHz than 50 Ω thin-film microstrip line in this technology. Impact of substrate quality on a 5-20 GHz inductor is analyzed by comparing substrates with standard resistivity, high-resistivity with PSC and high-resistivity with PN junction solution, showing an upto 62% improvement in quality factor.

9:40 A Configurable Implementation of Adaptive Digital Predistortion System for RF Power Amplifiers
Dursun Baran (The Scientific and Technological Research Council of Turkey, Turkey); Emre Ulusoy (TÜBİTAK BİLGEM, Turkey)

The implementation details of an adaptive closed-loop digital predistortion (DPD) system with various configurations are presented. Convergence rate, memory depth, digital pre-distortion enable and adaptive loop enable control options are explored to increase the performance of overall DPD system in practical cases. Look-up table (LUT) based algorithm is selected and system implementation details are provided. It is shown that convergence rate is important for the speed and the reliability of the DPD system. Also other control options are required to implement an efficient DPD system. The system is modeled with Matlab-Simulink and then implemented on FPGA to see the resource utilization. Based on the simulations, the system can provide more than 10dB ACPR improvement within 500 μs when the convergence rate and the memory depth are selected properly.

T04: Noise radar

Room: Heinrich Hertz (room 352)
Chairs: Konstantin Alexandrovich Lukin (IRE NASU National Academy of Sciences of Ukraine, Ukraine), Łukasz Maślikowski (Warsaw University of Technology, Poland)
8:20 Slow-Moving Target Detection Performance of an LPI APCN Waveform in Surveillance Applications
Maximiliano Barbosa (Military Institute of Engineering (IME), Brazil); Leandro Guimarães Figueroa Pralon (CTEX, Brazil); José Antonio Apolinário Jr. (IME, Brazil)

The Advanced Pulse Compression Noise (APCN) waveform is a widespread radar signal that combines the Low Probability of Intercept (LPI) characteristic of a random waveform with the Doppler tolerance feature of a Linear Frequency Modulation signal. Correctly choosing the stochastic properties of the signal's random component enables the enhancement of the APCN LPI characteristic, always at the expense of a deterioration concerning its Doppler tolerance. In addition to the earlier mentioned dichotomy, an untapped drawback arises when using the APCN waveform in surveillance: the difficulty in detecting slow-moving targets such as in sea and ground applications. The contribution of the noisy component to the matched filter output can lead to ambiguities in the detection and, therefore, the possibility of false targets. Within this context, this work presents closed-form expressions of the narrowband ambiguity function of APCN signals, enabling a proper analysis of the slow target detection issue. An additional processing step is then proposed as an alternative to prevent this undesired effect.

8:40 Interception of Continuous-Emission Noise Radars Transmitting Different Waveform Configurations
Gabriele Pavan and Gaspare Galati (Tor Vergata University, Italy); Christoph Wasserzier (Fraunhofer Institute for High Frequency Physics and Radar Techniques FHR, Germany)

The literature on Noise Radar Technology (NRT) highlights its features against intercept receivers in terms of low probability of intercept (LPI) as well as of exploitation (LPE). This paper presents some theoretical and experimental results of an ongoing research that aims at the characterization of Noise Radar Technology with special attention to its LPI and LPE features.

9:00 Partially Deterministic Waveforms in Noise Radar Applications
Konstantin Alexandrovich Lukin (IRE NASU National Academy of Sciences of Ukraine, Ukraine); Andy Stove (Stove Specialties & University of Birmingham, United Kingdom (Great Britain)); Valery Orlenko (Kharkiv National University of Radioelectronics, Spain)

A major reason for using noise-like waveforms for radar is to obtain low probabilities of interception and exploitation of its signals, but there are also good reasons to modify the waveforms to improve the implementation of the radar. These modifications add a deterministic component to the predominantly random signal and it is important to be able to characterise this and ensure that it has no significant tactical effect on the interceptability and exploitability of the radar's emissions. This paper discusses a model of a partially-deterministic waveform and its detectability and discusses the issues in characterising such waveforms, both for the design and testing of the radar and for interception of its waveforms.

9:20 Generation of Chaotic and Random Signals for Noise Radar - Brief Overview
Konstantin Alexandrovich Lukin and Oleg Zemlyaniy (IRE NASU National Academy of Sciences of Ukraine, Ukraine); Sergii Lukin (University of Alcalá, Spain)

We present the main results of theoretical and experimental developments of noise and chaos generators for Noise Radar (NR), which is part of Noise Radar Technology (NRT), in the LNDES IRE NASU since 1990. The Dynamical Chaos Principle in electronic circuits is considered for generation of random/chaotic/noise signals in a wide range of frequencies: from P-band up to W-band. Various approaches to the chaos generators design are briefly described. Several types of chaotic signal generators are presented: Weak-Resonant BWO, IMPATT and Gunn Diodes based on chaotic mode interaction in multi-mode resonant systems. P-band chaotic waveform oscillators with time-delayed feedback of both reflection and ring types are described. Generation of noise signals directly in oscillatory systems containing a non-linear active electronic component is the most promising approach to design of a simple and efficient NR transmitter. Applications of FPGA based arbitrary waveform generators for digital generation of pseudo-random signals for modern NR are presented. Experimental testing showed high efficiency of FPGA-based application in radar with variety of sounding waveforms. The Chaos generators presented may be used as NR transmitters of random signals in wide frequency range: from P-band up to W-band or as a source of modulating signal in VCO based NR transmitter.

9:40 Stepped Frequency Ground Noise SAR for Real Time 2D Imaging
Konstantin Alexandrovich Lukin (IRE NASU National Academy of Sciences of Ukraine, Ukraine); Dmytro Tatyanko (O. Ya. Usikov Institute for Radiophysics and Electronics, NAS of Ukraine, Ukraine); Oleg Zemlyanyi (O. Ya. Usikov Institute for Radiophysics and Electronics NAS of Ukraine, Ukraine); Sergii Lukin (University of Alcalá, Spain); Volodymyr Palamarchuk (IRE NASU, Ukraine); Nikolai Zaets (IRE NASU, National Academy of Sciences of Ukraine, Ukraine); Peter Sushchenko and Andrіi Shelekhov (O. Ya. Usikov Institute for Radiophysics and Electronics NAS of Ukraine, Spain)

In the paper we consider application of Stepped-Frequency Noise SAR with MISO configuration for 2D real-time imaging in Ku-band. Such a SAR provides range resolution about few cm which is equivalent to application of noise signal having instant UWB power spectral density. Some results of its implementation and experiments are presented to validate the concept.

T05: 3D imaging

Room: John Henry Poynting (room 264)
Chairs: Elisa Giusti (CNIT & RaSS, Italy), Piotr Samczynski (Warsaw University of Technology, Poland)
8:20 3D ISAR Imaging: ATR Based on the Alignment Between 3D ISAR Reconstruction and CAD Model
Jinjian Cai (Nanjing Research Institute of Electronics Technology, China); Marco Martorella (University of Pisa, Italy); Aifang Liu (Nanjing Research Institute of Electronics Technology, China); Elisa Giusti (CNIT & RaSS, Italy); Zuzhen Huang and Long Huang (Nanjing Research Institute of Electronics Technology, China)

Inverse synthetic aperture radar (ISAR) is capable of producing the two-dimensional (2D) and three-dimensional (3D) images of the non-cooperative target. Compared to 2D ISAR images, 3D ISAR reconstruction can provide not only range and cross-range information, but also the height information of the target, which is of great significance for automatic target recognition (ATR). In this paper, a new ATR method based on the alignment between 3D ISAR reconstructions and CAD models of the targets is proposed. First of all, the 3D InISAR reconstructions of the targets are generated by the 3D InISAR imaging technique. Subsequently, the PCA-based method is adopted to obtain the coarse alignment result. In addition, the accurate alignment results are accomplished by the ICP algorithm. Meanwhile, the RMSEs are calculated as a metric to describe the distance between the 3D InISAR reconstructions and the CAD models of the targets. Finally, the ATR can be achieved by choosing the target with the minimum RMSE. The simulation results are provided to verify the validity of the proposed ATR method.

8:40 Low-Cost Database-Free Automatic Target Classification Using 3D-ISAR
Selenia Ghio (CNIT - Radar and Surveillance System National Laboratory- RaSS, Italy); Elisa Giusti (CNIT & RaSS, Italy); Marco Martorella (University of Pisa, Italy)

Automatic Target Classification / Recognition (ATC/R) algorithms based on ISAR 2D images have proven effective but require complex databases. Moving from 2D to 3D systems, can reduce the database size and the costs since target's CAD models can be directly used without introducing variations due to the target aspect angle or projection onto the IPP. In this context, we would like to propose a solution that does not need a complex database and that requires only some easily available a priori knowledge about the targets, i.e. target main sizes and generic 2D shapes that can be representative of a target class. This method does not need a training phase and has very low requirements in terms of system memory, system cost and deployment time. This method is therefore useful in situations where there is the need to quickly build an ATC/R system. In this paper, the proposed method has been tested using simulated 3D InISAR reconstructions of airplanes and ships, but the same approach can be easily extended to other target classes because of its easy set-up.

9:00 Fully Polarimetric Multi-Aspect 3D InISAR
Elisa Giusti (CNIT & RaSS, Italy); Ajeet Kumar and Francesco Mancuso (CNIT, Italy); Selenia Ghio (CNIT - Radar and Surveillance System National Laboratory- RaSS, Italy); Marco Martorella (University of Pisa, Italy)

This article proposes a fully polarimetric 3D InISAR algorithm. The use of radar polarimetry is proposed in this paper to increase the performance of the 3D InISAR algorithm. Polarimetry is used both to improve the scatterer extraction algorithm and to improve the estimation of the interferometric phases of the scatterers. The number of scatterers extracted depends on the spatial resolutions, the appearance of the target and the acquisition geometry. This number is typically low and results in an underpopulated 3D reconstruction that may not provide enough information to classify / recognize the target. Either polarimetry and multi-aspect 3D reconstruction are used to mitigate this issue. Results will be provided using either simulated data and the multi-aspect and fully polarimetric turntable dataset of the T72 tank, publicly available at SDMSAFRL website.

9:20 Convolutional Neural Network for 3D ISAR Non-Cooperative Target Recognition
Maciej Soszka, Maciej Wielgo and Rafał Rytel-Andrianik (Warsaw University of Technology, Poland)

Modern radar systems are expected not only to show the object but also to provide the Automatic Target Recognition and Non-Cooperative Target Recognition. It seems to be an appealing application to employ state-of-the-art Artificial Intelligence algorithms for this purpose. However, the signature variability of 2D ISAR and limited data availability makes classifier training difficult. The usage of 3D InISAR data can improve classification accuracy and limit the training time for a given number of training samples. In this paper, we present the 3D InISAR classification method based on the simple Convolutional Neural Network (CNN). We compare it to the 2D ISAR CNN classification. We show that it enhances both training efficiency and accuracy.

9:40 Improved 3D ISAR Using Linear Arrays
Chow Yii Pui and Brian Ng (University of Adelaide, Australia); Luke Rosenberg (Defence, Science and Technology Group & University of Adelaide, Australia); Tan Cao (DST Group, Australia)

Inverse synthetic aperture radar (ISAR) has been developed to image non-cooperative targets, so they can be classified by an operator or a more advanced classification system. Three dimensional (3D) ISAR is an extension that produces `point clouds' of non-cooperative maritime targets and offers the potential for improved target classification. As element-level arrays become more mainstream, it is possible to exploit the extra channels to improve target imaging. In this paper, we consider a radar system comprising a number of spatial antennas. The estimated target features such as the length, width and height can be determined by the interferometric phase of scatterers identified in an ISAR image. We propose a technique that exploits uniform linear arrays to improve the accuracy of the phase estimates and hence produce better feature estimates. The new approach is demonstrated using a high fidelity ISAR simulation and tested with two different 3D ISAR techniques.

T06: Passive radar 1

Room: Andre Ampere (room 360)
Chairs: Mateusz Malanowski (Warsaw University of Technology, Poland), Volker Winkler (Hensoldt Sensors GmbH, Germany)
8:20 Blind Signal Processing of Digital TV Standards for Passive Sensing
Volker Winkler (Hensoldt Sensors GmbH); Steffen Lutz (Hensoldt Sensors GmbH, Germany)

For digital TV transmission many different standards are used worldwide and the complexity of these standards has also increased significantly. Most standards are based on orthogonal frequency division multiplex where several new features have been introduced. These features lead to the fact that symbols can't be reconstructed any more without using error correction or at all. This has been the motivation to investigate blind signal processing approaches without demodulation.

8:40 Analysis of Data Source Influence on Tracking Accuracy in Passive Radar
Marek Ciesielski and Mateusz Malanowski (Warsaw University of Technology, Poland)

The paper deals with the influence of different configurations of transmitters used by a passive radar on the quality of the estimation of the tracked target coordinates and the association of plots to the track. In the simulations, different configurations of DVB-T and FM transmitters have been analysed, with substantially different range accuracies.

9:00 Experimental Analysis of Environmental Noise in Passive Radar Based on FM Radio
Marcin Zywek and Mateusz Malanowski (Warsaw University of Technology, Poland)

Passive radar does not have its own signal source. Consequently, it is fully dependent on the presence of other signals that it can use for its operation. These signals are not optimal from the radar point of view. Therefore advanced signal processing algorithms are required to detect and track targets correctly. Detection of targets by radar is possible with the appropriate signal-to-noise level. In the case of passive radar, which usually operates in the VHF or UHF band, the noise level is often determined by environmental noise rather than the receiver's noise figure. In this paper, the level of environmental noise is investigated in FM-based passive radar.

9:20 Road Traffic Passive Radar Imaging Using DVB-S
Sandra Gutierrez-Serrano, Mari-Cortes Benito-Ortiz, David Mata-Moya and Maria-Pilar Jarabo-Amores (University of Alcalá, Spain); Nerea del-Rey-Maestre (University of Alcala, Spain)

This work tackles passive ISAR (Inverse Synthetic Aperture Radar) imaging of ground targets in real road traffic scenarios employing Digital Video Broadcasting-Satellite (DVB-S) signals. DVB-S transmitters present great advantages (high frequency, high availability, global coverage and a bandwidth composed of multi-channels) to generate high resolution ISAR images. Although ISAR images of ground targets can be generated with controlled experiments in turn-platforms, this contribution considers the real target dynamics to study the potential capability to use a passive radar (PR) for classification purposes in urban environments. Taking into consideration a measurement campaign of IDEPAR (PR demonstrator developed by the University of Alcala) focused on the surveillance of a campus street, a DVB-S passive ISAR image of a concrete mixer has been generated. The resulted image has been compared with a simulated one using ANSYS HFSS and similar main scatters are obtained. The same simulation strategy has been used to generate ISAR images of a sport utility vehicle and a motorcycle. These results have also similar shapes but different dimensions that could be used to face a classification stage in ground traffic monitoring.

9:40 Non-Uniform Linear Arrays for Target Detection and DoA Estimation in Passive Radar STAP
Andrea Quirini, Giovanni Paolo Blasone and Fabiola Colone (Sapienza University of Rome, Italy); Pierfrancesco Lombardo (University of Rome La Sapienza, Italy)

This paper explores the use of Non-Uniform Linear Array (NULA) configurations for target detection and Direction of Arrival (DoA) estimation in mobile passive radar systems. By leveraging on spatial diversity, NULAs are shown to potentially improve the flexibility and performance of a Space-Time Adaptive Processing (STAP) scheme, when few spatial receiving channels are available, as is typically the case of passive radar. The impact of NULAs on clutter suppression performance is analysed, showing that a narrower cancellation notch can be obtained, enhancing the detection of slow-moving targets, while still preventing the comparison of blind velocities. Moreover, resorting to a non-uniform distribution of the antennas, the trade-off between DoA estimation accuracy and unambiguous angular sector can be relaxed. A larger displacement between the phase centres is shown to reduce the DoA estimation error of unambiguous targets. The advantages of the proposed solution are demonstrated against simulated data, addressing the case of a mobile passive radar exploiting DVB-T as a signal of opportunity.

Tuesday, September 13 10:00 - 10:50

Poster2_Tue: Poster session 2

MIKON and IRS posters displayed during a coffee break
Chairs: Adam Kawalec (Military University of Technology, Poland), Piotr Kowalczyk (Gdansk University of Technology, Poland)
Cheap Flight-Ready X Band Antenna with Backed Cavity
Robert Stefanski (al. Zwyciestwa 96/98 & WiRan sp. z o. o., Poland); Rafał Ratajczyk (WiRan Sp. z O. O., Poland); Jaroslaw Stepien (Wroclaw University of Science and Technology, Poland); Paweł Drążkowski (WiRan sp. z o. o., Poland); Mariusz Grablowski (WiRan. sp. z o. o., Poland); Kamil Pietrusiński (WiRan sp. z o. o., Poland)

A simple and cost-effective antenna has been developed and is presented in this document. It targets nanosatellite applications, with 10 dBi gain and RHCP, compact ("CubeSat ready") footprint, and very low weight of less than 40 grams. It fulfills all the demanding requirements of a harsh space environment including application-specific aggressive mechanical shock and vibration. It is based on a well known and proven concept of frequency-independent spiral antenna, effectively adapted to the requirement of low height with the use of impedance transformer. The directivity and front-to-back ratio is enhanced through the proper use of the metal cavity. Already being a subject of detailed RF, mechanical, thermal and multipactor simulations, this antenna is currently at the last stage of qualification campaign before its virgin flight to TRL9. It is to reach orbit in 2022/23 becoming the first 100% Polish X band hardware in space. This paper reveals the design process and briefly describes manufacturing and test issues that are typical in the development of this type of hardware. As a result, a 10dBi gain featherweight antenna with good Axial Ratio and Return Loss performance, compact size and fully CubeSat compatible is produced, joining the portfolio of WiRan's flight products.

Low Detectable JEM Signals in Radar Echoes from a Helicopter with Composite Blades
Jiangkun Gong, Jun Yan and De-ren LI (Wuhan University, China); Deyong Kong (Hubei Economic University, China)

Theoretically, the rotating blades of helicopters can produce typical micro-Doppler, that is, strong Jet Engine Modulation (JEM) signals in their radar echoes. However, our X-band radar data indicate that there are only weak JEM signals with an approximate signal-to-clutter ratio (SCR) of 5 dB, similar to background clutter, in radar echoes from a helicopter of AS350 with composite blades. In contrast, radar echoes from a medium helicopter MI17 and a small drone DJI MATRICE 600 have strong JEM signals with SCR values of over 12 dB. The comparison indicates that radar dwell time and the size of blades are not the reason for the low detectable JEM signals in radar echoes from AS350, but the composite blades of AS350 may be responsible for it. The SCR of the blades is 18 dB smaller than that of AS350's body, making the identification range of AS350 35% of the detection range. The composite blades may provide an idea for designing stealth military helicopters and "stealth" turbine blades in wind farms.

Unsupervised Deep Learning Parameter Estimation for High Fidelity Synthetic Aperture Radar Super Resolution
Matthew Tay (DSO National Laboratories, Singapore)

Super-Resolution for Synthetic Aperture Radar (SAR) has been of high interest for its applications in reducing system Size Weight and Power (SWAP) and potential to improve SAR interpretability. Yet to enable super-resolution techniques like Super Spatially Variant Apodization (SSVA), precise knowledge of the Synthetic Aperture Radar (SAR) imaging parameters is required. Such parameters include the pixel, ground range and azimuth resolution which may be missing or tedious to parse. Moreover, these parameters are dependent on terrain relief and the effective target beam-width which may be absent in practical scenarios involving real targets. The inability to calculate sampling parameters would degrade side-lobe levels and image quality in super-resolution processing. To tackle this, we design a novel deep learning network that leverages a bi-linear sampling layer and a total variation loss that is able to directly estimate the required sampling factor without supervision. Our network is able to learn and carry out optimal side-lobe cancellation with no prior knowledge of the system or target imaging parameters. In doing so, we make it possible to carry out super-resolution on SAR images with no a-prior expert knowledge and outperform traditional algorithms in presence of imperfect expert knowledge. We validate our approach on both simulated data and a dataset (GOTCHA) collected by Airforce Research Laboratory (AFRL).

Swarm Behavior Recognition Using VGG16-Based Network with Multi-Dimensional Parameters
Yuxuan Huang, Zhouchang Ren, Zuyuan Guo, Dongxu Yang and Wei Yi (University of Electronic Science and Technology of China, China)

In this paper, an effective method is proposed for swarm target behavior recognition. At its core, the proposed solution includes a real-time recognition system that performs time-frequency processing on the radar echo signal and recognizes the target behavior through the end-to-end multi-dimensional parameter neural network. Through the time-frequency processing of the radar, the range, Doppler and angle parameters of the swarm targets are estimated, and a multi-dimensional data set is constructed. The multi-dimensional parameter network is based on VGG16 network and has multiple input ports for different features. The simulation results reveal that using the combined information of range, Doppler and angle for multi-parameter learning, the proposed scheme resolves the problem of low information quantity of single dimensional feature recognition methods, and its accuracy outperforms the single-dimensional methods in terms of swarm behavior recognition.

Echo Modeling and Characteristics Analyze for Swarm Targets
Zhouchang Ren, Wei Yi and Gang Mei (University of Electronic Science and Technology of China, China)

The swarm targets, such as unmanned aerial vehicle swarm, with a large number of individuals, high density, and time-varying movement pose severe challenges to radar detection. Meanwhile, the lack of swarm targets echoes model hinders the research of their detection algorithms. In this paper, we mainly study the swarm targets echo modeling and validate the effectiveness of the developed echo model by experiments. More precisely, we first develop a preliminary echo model of swarm targets based on that each entity reflects the incident electromagnetic wave independently. Then the simulated swarm targets echo under different swarm targets parameters is generated based on the developed echo model. We analyze the variation of simulated echo characteristics of swarm targets with parameters. Finally, we conduct several typical crowd experiments to verify the validation of the developed echo model. The characteristics of measured real data are analyzed and compared with the results of the simulation. The results highlight that the simulated and measured swarm targets echo have similar characteristics, which proves the effectiveness of the developed echo model to some extent.

A New Radar Signal Sorting Framework and Simulation System Design
Chunjie Zhang (Harbin Engineering University, China); Qing Song and Yuchen Liu (Harbin Engineering University & College of Information and Communication, China)

This paper studies the overall radar signal sorting process and proposes a synthetic radar signal sorting framework that can successfully sort various radars in complex electromagnetic environments. Furthermore, we design a simulation system for radar signal pulse sorting, which provides three types of algorithms, including DOA pre-sorting, multi-parameter clustering, and PRI single-parameter deinterleaving algorithms. It can simulate twelve different types of radar signals, flexibly generate radar pulse data for sorting or choose to import actual data for sorting. The experimental results based on the simulation system show that the synthetic deinterleaving algorithm has a high deinterleaving accuracy for various types of radar signals in complex electromagnetic environments.

Research on Integrated Waveform of MSK-LFM Radar Communication for anti Multipath Interference
Wenxu Zhang, Hao Wan and Xuefei Dai (Harbin Engineering University, China)

Given the miniaturization of the combat platform and the increasing complexity of the combat environment, this paper proposes a radar communication integration scheme. The scheme adopts minimum frequency shift keying and linear frequency modulation (MSK-LFM) integrated signal. Aiming at the problem that the bit error rate of the integrated signal will deteriorate in the presence of multipath interference, this paper uses direct sequence spread spectrum technology to optimize the integrated signal, which can effectively reduce the bit error rate. The simulation results show that this method can reduce the influence of multipath interference, improve frequency utilization and system detection accuracy, and reduce the bit error rate of the system.

A Novel Waveform for a Joint Radar and Communication System
Matthias Weiß (Fraunhofer FHR, Germany)

In the last years there is a trend to combine different RF systems to reduce the hardware costs on one hand side and to offer the customers a more comprehensive system. One of these possible mergers can be found in the area of communication and radar. There are two possibilities of realization here. Besides the temporal separation between communication and radar operation, where the optimal waveforms for the respective operating mode can be used, it is of great interest to operate these two tasks simultaneously. In this case, dedicated waveforms are necessary to achieve a good compromise between achievable data rate and range resolution / low SLL after pulse compression to detect weak targets. This paper presents a novel waveform that can find use for a simultaneous radar and communications operation. Theoretical analysis and simulation results demonstrate that the proposed integrated waveform performs well and meets practical requirements.

GHz Characterisation of Dielectric Properties of Ultra-Low Temperature Co-Fired Ceramic Materials for 5G Systems Application
Marzena Olszewska-Placha (QWED Sp. z o. o., Poland); Dorota Szwagierczak and Beata Synkiewicz-Musialska (Lukasiewicz-Institute of Microelectronics and Photonics, Poland); Janusz Rudnicki (QWED Sp. z o. o., Poland); Jan Kulawik (Lukasiewicz-Institute of Microelectronics and Photonics, Poland)

This work is dedicated to non-destructive characterization of dielectric properties of novel ultra-low temperature co-fired ceramic materials. With regards to their targeted application to emerging 5G communication systems, high accuracy measurements of complex permittivity are of crucial importance for materials manufacturers and further, 5G components designers. For high system efficiency, the discussed materials are aimed at low dielectric constant, in the range of 4-6.5 and low loss tangent, being within the range of 0.0005-0.005. Complex permittivity extraction is performed with the aid of split-post dielectric resonator method, which has been known for its robustness and high accuracy.

Study of the Effectiveness of Model Order Reduction Algorithms in the Analysis of Multi-Port Microwave Structures Using the Finite Element Method
Grzegorz Fotyga (Gdańsk University of Technology, Poland)

The purpose of this paper is to investigate the effectiveness of model order reduction algorithms in finite element method analysis of multi-port microwave structures. Consideration is given to state-of-the-art algorithms, i.e. compact reduced-basis method (CRBM), second-order Arnoldi method for passive-order reduction (SAPOR), reduced-basis methods (RBM) and subspace-splitting moment-matching MOR (SSMM-MOR).

Multipath Complex Root Tracing
Sebastian Dziedziewicz, Malgorzata Warecka, Rafal Lech and Piotr Kowalczyk (Gdansk University of Technology, Poland)

The problem of multipath root tracing is being addressed in this communication. The self-adaptive complex root tracing algorithm, which was previously utilized for the investigation of various propagation and radiation problems, is analyzed here for the cases when the traced characteristic bifurcates. A procedure of multiroute detection is proposed and demonstrated on the coaxially loaded cylindrical waveguide example.

Beam Waist in a Plano-Concave Fabry-Perot Open Resonator
Piotr T. Czekała, Bartłomiej Salski and Pawel Kopyt (Warsaw University of Technology, Poland); Malgorzata Warecka, Sebastian Dziedziewicz, Rafal Lech and Piotr Kowalczyk (Gdansk University of Technology, Poland)

A Fabry-Perot open resonator structure with plane and spherical mirrors is analyzed using two modeling techniques: the finite element method and Green's function method in free space. These methods are utilized to evaluate the beam waist of the Gaussian modes. The calculations are conducted in order to determine the minimum size of the probe that finds application in the material characterization analysis. The value of the beam waist as a function of the radius of the spherical mirror is investigated.

The Tunable Slow Light Effect Induced by Dark - Quasi-Dark Mode Coupling in Microwave Metamaterials
Zoya Eremenko (O Ya Usikov Institute for Radiophysics and Electronics of NAS of Ukraine, Ukraine); Alexei Breslavets (O Ya Usikov Institute for Radiophysics and Electronics of NASU, Ukraine); Yuri Savin (O. Ya. Usikov Institute for Radiophysics and Electronics of NASU, Ukraine)

Our research is devoted to the study of plasmon-induced transparency (PIT) and slow light effects in a microwave metamaterial. By numerical simulation, it is demonstrated that the dual-mode PIT effect on the fundamental (0,1) lattice mode, can take place at the determined topology and geometry parameters of microresonance structures. The correlation between the structure parameters of the metamaterial unit cell, the transparency coefficients and group delay time, is established. The constructed plasmonic metamaterial shows large values of group delay time and delay bandwidth product (DBP).

Eigenfrequencies in Microwave Spheroidal Cavities by an Accurate Numerical Approach
Alexei Breslavets (O Ya Usikov Institute for Radiophysics and Electronics of NASU, Ukraine); Zoya Eremenko (O Ya Usikov Institute for Radiophysics and Electronics of NAS of Ukraine, Ukraine); Igor Volovichev (O Ya Usikov for Radiophysics and Electronics of NASU, Ukraine); Grigorios Zouros (National Technical University of Athens, Greece)

We have developed an accurate numerical approach in spherical coordinates for solving electrodynamic tasks such as eigenfrequencies extraction in electromagnetic spheroidal cavities. The main feature of our method is its ability to satisfy the boundary conditions at individual points on a cavity's perfect electric conductor (PEC) interface. In the present study, we carry out a numerical study to compute the eigenfrequencies in both prolate and oblate type cavities with small aspect ratios. We have compared our results with other techniques and an excellent agreement has been obtained.

Compressed FMCW SAR Image Reconstruction
Krishna Kanth Rokkam (TCS Research, India); Andrew Gigie (TCS Research And Innovation, India); Achanna Anil Kumar (TCS Innovation Labs, India); Kuchibhotla Aditi (TCS Research, India); Pavan Kumar Reddy (Tata Consultancy Services, India); Tapas Chakravarty (Tata Consultancy Services Limited, India); P. Balamuralidhar (Tata Consultancy Services, India)

This paper presents the Compressed Co-prime FMCW SAR (CCF-SAR) system. CCF-SAR is an efficient FMCW SAR compression system based on the idea of co-prime sampling. Model-based reconstruction, by suitably using the forward model obtained from the co-prime sampler is employed for SAR image reconstruction. Multiple priors are used to analyze the performance of CCF-SAR in the plug and play framework. Simulation results demonstrate that the proposed CCF-SAR shows marginal performance improvement over the state-of-art compressed sensing based technique while providing the additional benefits of frugal hardware implementation.

A Simple Analytical Formula for Calculating a Weakly Singular Static Potential Integral
Anna Grytsko (Wrocław University of Science and Technology, Poland); Piotr Słobodzian (Wroclaw University of Technology & Faculty of Electronics, Poland)

This paper describes a new way of treating a weakly singular integral of a static potential involved in SIEMoM formulation. The proposed approach leads to a formula, which is much simpler than the currently available ones. The new formula enables a very simple implementation and high computational efficiency in evaluation of the potential integral, while maintaining high accuracy at the same time.

Radio Wave Blind Zone in a Duct: An Analytical Approach
Maarten Rol (Delft University of Technology, The Netherlands); Ronald Nijboer (Netherlands Defense Academy, The Netherlands); Alexander Yarovoy (TU Delft, The Netherlands)

Electromagnetic (EM) waves propagate through the atmosphere where they are refracted depending on the composition of the atmosphere. This refraction highly influences the propagation of the EM-waves. Certain atmospheric conditions can cause EM-waves to get trapped within a duct. In these ducts blind zones may occur, where there is no EM-wave coverage. These blind zones show up in the results of ray tracing codes. However, these codes provide no insight into the dependence of the blind zone on the atmospheric conditions. In this research analytical expressions are derived for the range of these blind zones. The expressions have been verified by ray trace simulations for several different atmospheric conditions. Results show that the blind zone range can be accurately predicted.

Analysis of Automotive Radar Interference in Complex Traffic Scenarios Using Graph Theory
Lizette Lorraine Tovar Torres and Christian Waldschmidt (University of Ulm, Germany)

The increasing amount of automotive radar sensors leads to a higher risk of undesired interferences. Complex traffic scenarios comprise several vehicles, each one equipped with multiple sensors. As a result, a significant number of time-variable interference links are present in the scenario. Making use of the graph coloring theory, this paper analyzes a highway and an intersection scenario and gives boundaries regarding the minimum number of resources needed to completely suppress the existent interference links. Based on these results, the scenarios are simulated using the current unregulated scheme and considering a wise distribution of the sensor parameters. It is shown that even in such complex scenarios with thousands of interference links, it is possible to significantly reduce the interference if the available sensor resources are wisely assigned.

Efficient Fabry-Perot Open Resonator Analysis by the Use of a Scattering Matrix Method
Malgorzata Warecka, Sebastian Dziedziewicz, Rafal Lech and Piotr Kowalczyk (Gdansk University of Technology, Poland); Piotr T. Czekała, Bartłomiej Salski and Pawel Kopyt (Warsaw University of Technology, Poland)

In this paper a comparative study of the computational efficiency of two modeling methods applied to the analysis of the plano- and double-concave Fabry-Perot open resonators is presented. In both numerical approaches, a scattering matrix method was applied, which allows splitting the analysis of the resonator into several sections, including the one with a spherical mirror, which requires the largest computing resources. Two modeling techniques were utilized to evaluate the scattering matrix of the spherical mirror, namely, the finite element method and free-space Green's function method. Resonant frequencies and the corresponding field distributions of the selected Gaussian modes were calculated and compared. Good agreement between the methods was achieved; however, the Green's function method has occurred to be more computationally efficient.

Coherent Integration and Detection for Extended Targets in Traffic Scenes
YunLian Tian and Wei Yi (University of Electronic Science and Technology of China, China)

Millimeter-wave Frequency Modulated Continuous Wave (FMCW) signals are very useful in automotive radar. Since their range resolution is much less than the target size, it is not possible to view the target as a traditional point-like target, but rather as an extended target. It results in echo energy dispersion over multiple range cells and brings challenges to radar detection. Furthermore, the Doppler frequency migration (DFM) and range cell migration (RCM) of maneuvering extended targets would inevitably occur within the radar multi-chirp period observation, which could further reduce detection performance. This paper analyzes the problems of traffic-extended targets detection, a coherent integration (CI) method based on phase compensation is proposed, i.e., modified Keystone transform (MKT) and modified Radon Fourier transform (MRFT). This eliminates the effects of RCM and DFM with the goal of improving the target detection ability in traffic scenes, especially when targets are maneuvering or moving at high speed. To examine the efficacy of the proposed method, we simulate the vehicle mobility modes of four typical traffic scenes. Simulation results verify that the proposed methods are capable of enhancing the detection ability on traffic-extended targets.

Waveguide Components Analysis and Design with Customized Hybrid Mode-Matching and 2D Finite Element Methods
Mohamad Hosein Rasekhmanesh (Universidad Autónoma de Madrid, Spain); Gines Garcia-Contreras (Universidad Autonoma de Madrid, Spain); Juan Córcoles (Universidad Autónoma de Madrid, Spain); Jorge A Ruiz-Cruz (Universidad Autonoma de Madrid & Escuela Politecnica Superior, Spain)

This work addresses the use of structured meshes composed of quadrilateral finite elements, instead of the classic unstructured meshes made of triangular elements. These meshes are used in the modal analysis of waveguides with a certain types of cross-sections, namely Manhattan-type, defined by polygons only presenting angles of 90 deg. or its multiples. To achieve this, the computation of TE and TM modes is firstly considered, to show how structured meshes made up of quadrilateral-element cells with fewer degrees of freedom (d.o.f.) offer a higher rate of accuracy to calculate degenerate modes with the same cutoff wavenumber, since the use of triangular-element cells results in meshes which generally do not guarantee that the computed modes will yield that exact same eigenvalue. In the next step, the accuracy in computing waveguide modes that are achieved by using quadrilateral cells will be assessed in the analysis of actual devices. In this case, the mode matching (MM) method will be used to simulate a ridge waveguide bandpass filter where modes in their different cross-sections are computed with 2D-FEM using either structured (with quadrilateral cells) and unstructured (with triangular cells) meshes.

In-Vehicle Seat Occupancy Detection Using Ultra-Wideband Radar Sensors
Song-Yi Kwon and Seongwook Lee (Korea Aerospace University, Korea (South))

In this paper, we propose a method for identifying the seats in which people are sitting using ultra-wideband radar sensor data. First, we install two radar sensors in locations suitable for monitoring people inside the vehicle and then collect reflected radar signals. Based on the acquired radar sensor data, we design a convolutional neural network (CNN)-based classifier for seat occupancy detection. As input data for training the CNN, the radar signals accumulated over time acquired from two radar sensors are synthesized in parallel and used. As a result, our proposed method can determine the seats occupied by people with more than 93% accuracy.

Measurement of Soil Dielectric Permittivity Spectra at Various Temperatures
Agnieszka Szypłowska (Institute of Agrophysics, Polish Academy of Sciences, Poland); Arkadiusz Lewandowski (Warsaw University of Technology, Poland); Marcin Kafarski (Institute of Agrophysics, Polish Academy of Sciences & The State School of Higher Education in Chełm, Poland); Andrzej Wilczek (Institute of Agrophysics, Polish Academy of Sciences, Poland); Jacek Majcher (Lublin University of Technology, Poland); Wojciech Skierucha (Institute of Agrophysics, Polish Academy of Sciences, Poland)

Dielectric sensors are a popular choice for soil moisture measurement. However, soil dielectric permittivity depends not only on moisture content but also on several other properties, including temperature. The aim of this research is to examine the impact of temperature on soil complex dielectric permittivity spectra in the 0.02-3 GHz frequency range. Soil samples were measured in coaxial cells connected to a vector-network-analyzer. The results indicated that the influence of temperature on dielectric permittivity depended on frequency and moisture content.

Acceleration of Radio Direction Finder Algorithm in FPGA Computing Platform
Piotr Tomikowski and Gustaw Mazurek (Warsaw University of Technology, Poland)

The aim of this work is to create a radio direction finder demonstrator accelerated in the FPGA platform. The hardware layer of the demonstrator consists of an SDR device and receiving array arranged from four unidirectional antennas. The implemented solution uses Fast Fourier Transform (FFT) calculated in the FPGA synchronously for the four receiving channels. The calculation results show an approximately linear relationship between the algorithm's response and the actual azimuth of the incoming radio wave. Simulations in the Matlab computing environment verified the algorithm's operation in a simplified scenario. The radio direction finder module was integrated with the FPGA device as part of the USRP 2955 radio receiver. Practical experiments were then conducted using a portable PMR transceiver as a signal source. The experimental results showed an almost tenfold improvement in the processing speed achieved by moving the computations from the host's CPU to the FPGA.

Extended Wide-Band Spectrum Monitoring System from 2.2 GHz to 2.6 GHz by MRC-100 3-PocketQube Class Student Satellite
Yasir Ahmed Idris Humad (Budapest University of Technology and Economics (BME), Hungary)

The main goal of this paper is to demonstrate the capability of designing 3-PQ (Pocket Qube) student satellites with minimal size, weight, and power consumption for scientific payloads. MRC-100 contains several scientific payloads. The main scientific payload for MRC-100 is a wide-band spectrum monitoring system from 30 to 2600 MHz. As a prototype, This monitoring system is able to monitor the Radio Frequency Smog in a laboratory environment (first phase). The spectrum monitoring system's realized prototype panel can measure RSSI (Received Signal Strength Indicator) values on the extended band 2200 - 2600 MHz. It can also be used as a typical scalar spectrum analyzer with a +3.3 V nominal regulated bus voltage and less than 120 mA current consumption and 40x40 mm PCB (Printed Circuit Board) size.

A Classic RF Component in a High Power Megahertz Implementation
Adam M Urbański, Maciej Harasim, Przemysław Kowalczyk, Adam Krupa, Andrzej Gierałtowski, Marcin Falkiewicz and Marcin Żelechowski (TRUMPF Huettinger Sp. z o. o., Poland)

This paper describes a magnetic core based balun, designed to work at 4 MHz frequency and capable of transferring up to 4 kW of power. For the magnetic core a high frequency material in a E and I shape had been chosen. The windings are realized in a planar form, each of them being a separate Printed Circuit Board (PCB). Impedance transformation ratio is meant to be as close to 1:1 as possible, since the sole purpose of using the balun is to adapt a power amplifier balanced output to an unbalanced load. The challenging aspect of the design is estimating the required physical dimensions of the cores and windings according to the losses. There are three sources of power dissipation: copper windings, PCB laminate and cores. Magnetic material characteristics, such as losses and temperature dependance of its permeability, were taken into account since they affect transformer linearity and pulse response. In order to deliver an optimal solution, an electromagnetic model was put under analysis using a Finite Element Analysis (FEA) tool. Thus, a precise estimate of the electrical properties had been derived what effectively shortened the project timeline.

Electronically Controlled Switchable Delay Line
Zenon R Szczepaniak and Waldemar Susek (Military University of Technology, Poland); Adam Slowik (Military University of Thechnology, Poland)

An electronically controlled switchable analog delay line has been presented in the paper. The line works in 13-17 GHz frequency range and provides constant group delay due to using coaxial lines in each delay channel. Due to moderate size of the line segments, short or moderate time delay can be obtained by this approach only. Presented solution has simple construction, so it is easy to use, implementation and expand, depending on the needs. The construction of the circuit is based on the coaxial line sections, 3 dB power dividers and SP4T switch. To obtain equal transmission in each path, additional attenuators are used. Laboratory model of the delay line was build, selected parameters were measured and presented in the paper. Obtained results confirm non-dispersive characteristics, according to the assumptions made.

Simulation and Analysis of Two Point-Like Scatterers in a Portable Microwave Breast Cancer Detection System
Debarati Nath (University of Manitoba, Canada); Sakshi Goyal (Shri Ramdeobaba College of Engineering and Management, India); Stephen Pistorius (University of Manitoba, Canada)

Breast cancer screening plays an essential role in the early detection of breast cancer, but access to screening is limited for women in remote locations and low-income countries. Microwave imaging systems address this disparity, offering a compact, cost-effective approach that uses safer non-ionizing radiation to detect breast cancer. To optimize the design of a portable system, this study focuses on detecting changes in the electromagnetic (EM) fields associated with Aluminum (Al) rods serving as point-like scatterers.

A replica of an experimental portable microwave breast cancer detection system was designed in CST Microwave Studio software. This system used a rotating horn antenna and a hemispheric array of point-like sensors surrounding the breast to detect the EM fields scattered by the breast. The signal detected by these sensors is compared to the results from a normal breast to detect the presence of a malignant lesion. The simulation and analysis followed the methodology presented in earlier single rod work, where the ratios (R) of the magnitude of the EM fields with and without the rod(s) were calculated. The analysis was conducted over a bandwidth of 2 to 8 GHz for two Al rods at different locations and spacings within the imaging chamber. The magnitudes of the E-fields with the rods were compared to the magnitudes of the E-fields for a single Al rod placed at similar positions.

When the wavelengths of the microwaves were greater than the spacing of the Al rods, the magnitude of the electric field at the central sensor was (as expected) low relative to the open field signals. As the wavelengths of the lowest frequency signals approached that of the rod spacing, the value of R at the central sensor was above 1, The peak values of R, due to constructive interference associated with scattering from the rods, were located at similar sensor positions for both one and two-rod cases. The two-rod response can be estimated from the product of a function of the single-rod responses. When the two rods were both situated along the Y-axis, the reduced magnitude of the E-field at the 0-degree sensor position was due to the combined effects of both rods.

The E-field ratios for the two-rod condition follow well-defined variations in agreement with the single-rod E-field patterns. The results support the hypothesis that the results for multiple point-like scatterers can be obtained from the superposition of many single rod responses. While more analysis is needed to determine the effects of multiple rods, this work may assist us in determining the optimal location of the sensors to maximize system sensitivity and specificity.

A Compact X-Band Coaxial Line to Four Rectangular Waveguides Power Divider/Combiner
Jerzy Piotrowski (Warsaw University of Technology, Poland)

This paper demonstrates an X-band adapter, realizing transition from a single type-N coaxial cable to simultaneously four rectangular waveguides operating in the dominant TE10 mode. The devised adapter is characterized by a continuous and smooth transformation of the inner and outer conductor of the coaxial line to the top and bottom walls of rectangular waveguides, which can be considered a somehow different conception to traditionally used posts and step impedance transformations. Thus it can show the new direction in devising different passive microwave elements.

Resonant Filtering of High Frequency Oscillations in DC-AC Converters
Andrzej Gierałtowski, Adam Krupa, Przemysław Kowalczyk, Krzysztof Gedroyc, Adam M Urbański and Marcin Żelechowski (TRUMPF Huettinger Sp. z o. o., Poland)

The switching cell consisted of transistor and it's driver circuit are the most critical components in a high frequency power converter. Switching of high frequency current, despite of application of soft switching techniques, causes serious stress to the switching device. The parasitic components of both driver and power circuit can further disrupt the commutation process and contribute to the significant FFT spectra deterioration. Thus, a resonant filtering circuit was proposed, which significantly reduced voltage oscillations and improved operation of 4 MHz generator. Significant improvement was proven for both 50 Ω load and mismatch conditions.

Low-Cost Omni-Directional Antenna Designated for IFF System
Izabela Slomian (PIT-RADWAR S.A., Poland)

A simple solution of a vertically-polarized printed antenna is adapted to realize a control pattern of the IFF system, which requires to obtain appropriate coverage of sum-channel sidelobes in the azimuth and elevation planes. The proposed solution of an antenna operating in L-band and designed with phase reversal technique is presented, discussed and verified with electromagnetic simulations.

Analysis of the Accuracy of the Estimation of Signal Arrival Angle in Monostatic MIMO Radar Using the Capon Algorithm and Its Modifications
Błażej Ślesicki (Military University of Aviation & Lotnicza Akademia Wojskowa, Poland); Anna Ślesicka and Adam Kawalec (Military University of Technology, Poland)

This paper presents an analysis of the feasibility of using a modified Capon algorithm for signal arrival angle estimation in a MIMO (Multiple-Input-Multiple-Output) monostatic radar. A comprehensive analysis of the state of the art in signal arrival angle estimation algorithms used in many fields of technology, not only in radiolocation, has been carried out. The methodology of simulation studies preparing the newly implemented algorithm for operation in a radar system is presented. The presented research is a comprehensive view on adaptation of angle of arrival estimation algorithms in various fields of technology, which so far has been presented in a selective manner or does not necessarily take into account all technical aspects that have a significant influence on the application of the developed algorithm in real systems. The idea of using signal arrival angle estimation algo-rhythms and the influence of signal to noise ratio on the quality of object detection are presented. The presented results of simulation studies confirm the validity of the proposed approach to signal arrival angle estimation algorithms in MIMO monostatic radar.

Tuesday, September 13 10:50 - 12:30

MIKONplenary1: MIKON Plenary session Tue

Room: James Clerk Maxwell (Aula)
Chairs: Roberto Gómez-García (University of Alcalá, Spain), Adam Lamecki (Gdansk University of Technology, Poland)
10:50 Highly Sensitive Microwave Sensors for Measuring Intrinsic and Physical Properties
Abhishek Jha (IIT Tirupati, Poland)

Electromagnetic waves feature superior properties that allow microwave sensors to be more accurate, more sensitive, significantly lighter and smaller in size than their counterparts. In this talk, several microwave sensors will be discussed that can measure the intrinsic properties of matter, e.g., the permittivity and permeability; and can detect the physical quantities, e.g., angular rotation, direction of motion and proximity. These microwave sensors offer measurement of the intrinsic properties at single frequency using resonant technique as well as in the wideband of microwave frequency using transmission and reflection technique. The talk will start with the recent advances in waveguide-based microwave sensors that will address the special class of epsilon-near-zero sensors too. Afterwards, several resonant and non-resonant microwave planar sensors will be discussed, where the mue-near-zero sensor will be introduced, and an innovative arrangement will be discussed that is helpful in converting a wired sensor into the wireless. Later, the planar sensor that can measure two crucial parameters in the automation industry-the angular rotation and the proximity, and offers the user-specific wide frequency operating band selection will be discussed. Finally, a modified design will be presented that can detect the direction of rotation using the asymmetry principle. Using the error analysis, it will be shown that a technique based on phase-change measurements is superior to those based on magnitude measurements alone.

11:30 GaN MMIC High Power Amplifiers for K-Band Satellite Payload
Paolo Colantonio and Rocco Giofrè (University of Roma Tor Vergata, Italy); Franco Giannini (University of Tor Vergata, Rome, Italy); Mariano Lopez and Lorena Cabria (TTI, Spain)

This contribution presents the activities carried out towards the realization of a high-power solid state power amplifier, based on Gallium Nitride (GaN) technology, targeting more than 125W of output power in the frequency range 17.3- 20.2 GHz, conceived for the next generation K-band Very High Throughput Satellites (vHTS). For this purpose, specific Monolithic Microwave Integrated Circuits (MMICs) Power Amplifiers (PAs) were developed on a commercially available 100 nm gate length GaN on Silicon (GaN-Si) process (OMMIC process D01GH). The design was carried out considering space reliability constraints on electrical parameters and accounting for the spacecraft temperature limits, which are extremely challenging for this technology, to keep the junction temperature of all devices below 160 °C in the worst-case condition (i.e., maximum environmental temperature of 85 °C). The final MMIC, based on a three-stage architecture, demonstrates on wafer and in pulsed condition to achieve a minimum output power and power added efficiency (PAE) of 10W (40dBm) and 35% (with a peak of 45%) in the full Ka-band satellite downlink, i.e., from 17.3 GHz to 20.2 GHz. The packaged version demonstrates in continuous wave (CW) conditions an output power larger than 39.5dBm with a PAE better than 30%. Moreover, long-term (24h) CW test at saturated output power has shown almost negligible performance degradation, thus providing confidence in the robustness of the selected GaN-Si technology.

12:10 High-End Solid-State Technology & Solutions: From Particle Accelerator HPAs to Low-Noise Spaceborne Radar Frontends
Lukasz Zwirello (TRUMPF Huettinger, Germany)

This presentation will first provide an overview of the broad spectrum of our activities in microwave systems design, then to focus on RF frontends for spaceborne synthetic aperture radars in L- and X-band, very high power solid-state amplifiers for particle accelerators as well as plasma ignition applications, to finally present a design of novel GaN-based multi-kW SSPA for satellite communication.

T10: EDA sensor research and technology developments for UAS defence applications

Room: Heinrich Hertz (room 352)
Chairs: Fabrizio Berizzi (University of Pisa, Italy), Marco Martorella (University of Pisa, Italy)
10:50 Artificial Intelligence for Automatic Detection, Recognition and Identification with Electro-Optical and Synthetic Aperture Radar Data
Adrián Rodríguez Grillo, Juan Pedrero González, Ignacio Vadillo Rubio, Gabriel Martínez Losa and Javier Rodrigo Villazón Terrazas (NTT Data Spain, Spain)

Automatic Detection, Recognition and Identification (A-DRI) of targets is a core process towards improving the planning and execution accuracy of military missions. The recent advancements in radar technology and the excellent performance achieved by deep learning models for image processing has increased the interest of using the use of such techniques to process this kind of data. Specifically, Synthetic Aperture Radar (SAR), which presents interesting advantages over its counterparts, have been analyzed and compared against Electro-Optical (EO) sensors for the tasks of recognizing urban infrastructures and detecting ships in the ocean. Additionally, three different data fusion techniques are proposed with the goal of improving the overall accuracy of the system in different meteorological circumstances, being able to leverage the relevant information of the data and achieve an improvement in performance over the 15\%. Furthermore, experiments in embedded Jetson devices are performed, showing the real-time capabilities of the system.

11:10 Cognitive Radar Framework for Classification Using HRRP and Waveform Diversity
Kilian Barth, Marcel Warnke and Stefan Bruggenwirth (Fraunhofer FHR, Germany)

We introduce a cognitive framework for non-cooperative target identification using high range resolution profiles. In a sequence of successive measurements, the transmit behaviour is changed to enhance the classification performance with a minimum number of measurements. In the current work these are linear frequency modulations with different bandwidths and centre frequencies. A partially observable Markov decision process fuses the information (type and angle) over time and dynamically selects the most promising waveform to increase the overall classification performance. The framework is tested on electromagnetic models of four different civilian cars to obtain a realistic environmental simulation. The validation shows up to 15% improvement of the correct classification compared to static approach with multiple measurements using a single waveform.

11:30 Photonic Integrated CircuiTs for mUltiband RF Transceiver in Arrayed systEms: The PICTURE Project
Giovanni Del Greco (Leonardo S.p.A., Italy); Paolo Ghelfi (CNIT, Italy); Jerome Bourderionnet (Theales Research and Technology, France); Frédéric Van Dijk (III-V Lab, France); Yohann Lèguillon (Thales LAS, France); Loic Menager (Thales DMS, France); Laurent Vivien (Institut d'Electronique Fondamentale, France)

The PICTURE project is dedicated to the development of integrated photonic solutions for radar applications, targeted to multi-functional AESA systems.

11:50 Quantum Sensing Technologies for Defence Applications: The Project QUANDO
Nicole Fabbri (Istituto Nazionale di Ottica CNR-INO, Italy); Paolo De Natale (CNR-INO, Italy); Francesco Cataliotti (CNR-INO and LENS, Italy)

Quantum sensing technologies can reach levels of accuracy and performance beyond the limitations of classical sensing, and their market availability could revolutionize the defence capabilities, by possibly leading to paradigmatic shifts in the design of future systems. The project QUANDO ``Quantum Technologies for Defence with Application to Optronics'' focuses on the investigation of Quantum Sensing Technologies (QSTs) in Defence applications for Detection, Recognition, Identification, and Tracking (DRIT) of difficult targets. The project aims at (i) investigating QSTs for Optronics, in order to improve the DRIT of difficult optical targets, (ii) exploring potential applications of quantum technologies with a special focus on Radio Frequency sensor systems, and finally (iii) realizing a laboratory proof-of-concept demonstrator of an Electro-Optical/Radio-Frequency quantum sensor. This paper describes the current results of this ongoing project.

12:10 3D Radar Imaging for Non-Cooperative Target Recognition
Marco Martorella (University of Pisa, Italy); Elisa Giusti (CNIT & RaSS, Italy); Selenia Ghio (CNIT - Radar and Surveillance System National Laboratory- RaSS, Italy); Piotr Samczynski, Jedrzej Drozdowicz, Marcin Kamil Baczyk and Maciej Wielgo (Warsaw University of Technology, Poland); Krzysztof Stasiak (Warsaw University of Technology, Institute of Electronic Systems & XY-Sensing Ltd., Poland); Jakub Julczyk and Marek Ciesielski (Warsaw University of Technology, Poland); Maciej Soszka (PITRADWAR, Poland); Roman Mularzuk (PIT-RADWAR S.A., Poland); Giorgio Pizziol (GEM Elettronica, Italy); Daniele Staglianò and Stefano Lischi (Echoes S. R. L., Italy)

The RING project is a Research and Technological Development project funded within EDA framework. The RING project aims to develop novel non-cooperative target recognition (NCTR) systems that make use of three-dimensional radar images of non-cooperative targets. The project is now halfway through its duration and this paper shows the main achievements reached so far.

T11: Advanced radar techniques 2

Room: John Henry Poynting (room 264)
Chair: Stephane Kemkemian (Thales Defence Mission Systems, France)
10:50 Active Reflected Power Cancellation (RPC) for Pulsed Simultaneous Transmit and Receive (STAR) Radar Systems
Matthias Weiß (Fraunhofer FHR, Germany)

Due to new technological advancements in the field of communication and radar the demand for frequency spectrum is increasing. As the usable spectrum is inherently limited, this leads to congestion and, in some cases, a contested EM spectrum situation. There is also a technology trend towards Multi-Platform and Multi-Functional RF Systems (MPRFS and MFRFS) that combine various RF resources such as multiple different radar modes with communications and Electronic Warfare (EW) systems into a common (shared) RF architecture. To fully utilise the potential of MPRFS and MFRFS the ability to simultaneously transmit and receive is required. This forms the motivation for the present research in which Simultaneous Transmit and Receive (STAR) systems are modelled and demonstrated to achieve full-duplex spectral efficiency. As typical Reflected Power Cancellation (RPC) approaches suffer from a small relative bandwidth, this paper investigates the usability of active RPC for pulsed radar systems.

11:10 X-Band Radar for Simultaneous Multiple Target Localization Based on Collimated Vortex Waves
Altunkan Hizal (ASELSAN, Turkey); Hayrullah Yildiz (Baskent University, Turkey)

Electromagnetic vortex waves (VW) generated by a uniform circular array (UCA) are tightly collimated by a paraboloidal reflector into a radiation cone with a small vertex angle and beam-width. This antenna system is used in a conceptual design of a medium range X band high resolution radar sensor for localization of multiple targets simultaneously. Its features are comprehensively analyzed yielding novel results. The VW based algorithms for range and angular localizations of multiple targets are given. The effects of the tolerances of the UCA element excitations are estimated. Results for Monte Carlo simulations for localizations and detection performance are presented.

11:30 Multi-Frequency Radar Signal Processing for Moving Target Detection
Huaiyang Gong, Nikita Petrov and Oleg Krasnov (Delft University of Technology, The Netherlands); Alexander Yarovoy (TU Delft, The Netherlands)

This paper presents algorithms for joint signal processing of data from two radars located on the rooftop of TU Delft: PARSAX, operating in S-band and MESEWI, operating in X-band. In particular, the problem of data alignment in space (2D map) and time is addressed by observing moving targets of opportunity in the high-resolution mode. After the data alignment procedure, the detection algorithms for optimal fusing of dual-polarization and multi-frequency data are proposed. The detection results are considered the input for moving target (an auto) tracking and its signature extraction. The developed techniques were tested on the data records in experimental scenarios.

11:50 A Modified Earliest Start Time (MEST) Algorithm for Multi-Function Radar Task Scheduling
Zhen Jack Ding (DRDC - Ottawa Research Centre, Canada)

The earliest start time (EST) algorithm, a head-tail connection approach, has been used for many scheduling applications. This algorithm is simple and efficient. The drawback of its head-tail connection results in its mediocre performance. We propose a Modified EST (MEST) algorithm in this paper, which removes the head-tail assumption, as long as the rest of tasks is still schedulable. The new algorithm assigns the current task's start time when possible, not the tail time as done in the EST. In this way, the time shift for the current task is minimized. Overall, the total cost, a measure of all time shifts, would be decreased significantly. The algorithm is evaluated by a multi-function radar scheduling problem. Comparing the cost, Monte Carlo simulation shows that the MEST is much lower than the EST when the radar loading rate is below around 80%, and the two algorithms perform the same when the radar loading rate is above around 80%, with the EST's performance being the worst case for the MEST. In addition, the MEST is very efficient for real world radar systems.

12:10 Doppler Navigation for Small Aircraft over Sea
Stephane Kemkemian (Thales Defence Mission Systems, France); Myriam Nouvel (Thales Defence Mission Systems); Adrien Gilliot (Thales Defence Mission Systems, France)

The standard aircraft navigation system consists of the joint use of an inertial measurement unit (IMU) and a tightly coupled GNSS receiver. GNSS receivers are inexpensive but the signal may be unusable, while a low-drift IMU is expensive but provides autonomous navigation. A well-known solution over land is to merge the measurements from a low-cost IMU with at least three relative ground velocity measurements from a Doppler radar. But this solution gives a biased speed over the sea because its clutter does not provide a null Doppler reference due to the wind. The article describes a method to correct this bias by offline learning a Doppler clutter model from a database consisting of a number of signifying features extracted from Distance-Velocity radar maps.

T12: Passive radar 2

Room: Andre Ampere (room 360)
Chairs: Marcin Kamil Baczyk (Warsaw University of Technology, Poland), Daniel W O'Hagan (Fraunhofer FHR, Germany)
10:50 Multiple FM-Based Passive Bistatic Pairs for Robust Target Detection with Improved Position Accuracy
Angel Slavov (RWTH Aachen University & Fraunhofer FHR, Germany); Stephan Sandenbergh, Daniel O Hagan and Peter Knott (Fraunhofer FHR, Germany)

High-power FM transmitters are often employed for passive detection of long-range targets. However, higher bandwidth digital modulations (e.g. DAB, DVB-T) are preferred at shorter target ranges due to their improved range resolutions and their content agnostic ambiguity functions. Modern multi-channel, high-bandwidth, and wide-band software defined radios (SDRs) now make possible the simultaneous reception of reflections from multiple transmitters of opportunity using a single commercial off-the-shelf (COTS) appliance. Sophisticated and highly diverse passive radars employing multiple transmitter technologies can now be implemented using COTS equipment. The diversity advantage of multistatic FM-based passive radar are not often demonstrated. This paper demonstrates how transmitter and channel diversity can improve both 2D target detection and range resolution for such multistatic FM-based passive radars. Moreover, a single airborne target was simultaneously tracked using four FM bistatic pairs. These FM bistatic pairs were formed using various remote transmitters and a single four- channel COTS SDR receiver. The diversity advantage offered by the separate transmitters, different channels, and varying radio content is analysed for an increasing number of redundant bistatic pairs. These redundant bistatic pairs allowed for a more robust and reliable target detection that is less dependent on the channel content. A range cell area reduction of approximately 37% was calculated when using four instead of only two bistatic pairs.

11:10 Mission Planning for Mobile Communication Passive Radar via an Evolutionary Algorithm
Sebastian Thomas Handke (Fraunhofer FKIE, Germany); Martina Broetje (Fraunhofer Institute, Germany); Christian Steffes (Fraunhofer Institute for Communication, Information Processing and Ergonomics FKIE, Germany); Wolfgang Koch (Fraunhofer FKIE & University of Bonn, Germany)

The spatial arrangement of receiver and transmitter sites defining the layout of a multistatic radar system has crucial influence on the system's performance in achievable estimation accuracy. In this work, we focus on mission planning as the process of determining an optimal layout by selecting a combination of discrete receiver and transmitter sites from fixed size sets of suitable sites. In this context we apply an Evolutionary Algorithm (EA) to determine an optimal layout with regard to target state estimation, where we utilize the Cramér-Rao Lower Bound (CRLB) as performance metric. Due to a typically large number of combinations, exhaustive search becomes increasingly expensive. The presented approach provides means to find solutions within significantly less time than exhaustive search, making it therefore a viable tool in the context of mission planning for a passive radar system.

11:30 Wideband DVB-S/DVB-S2 Passive Bistatic Radar for Resident Space Object Detection
Amerigo Capria (CNIT, Italy); Anna Lisa Saverino (CNIT RaSS, Italy); Marco Martorella (University of Pisa, Italy)

This paper deals with the design of a DVB-S/DVB-S2 passive bistatic radar for the detection of Resident Space Objects (RSOs) in the Low Earth Orbit (LEO) focussing on the advantages of broadband operation. A technique to increase the probability of detection exploiting the available transmitted spectrum will be proposed. Then, an experimental set-up able of acquiring and storing the satellite wideband signals will be presented and the main features of a multi transponders DVB-S/DVB-S2 real dataset will be presented with a specific focus on the signal characteristic and on the ambiguity function.

11:50 The STARLINK-Based Passive Radar: Preliminary Study and First Illuminator Signal Measurements
Pedro Gomez-del-Hoyo, Konrad Gronowski and Piotr Samczynski (Warsaw University of Technology, Poland)

In this paper, a preliminary study for the design of passive radar exploiting novel space illuminators of opportunity is presented. Wide-band satellite systems such as Starlink constellation have arisen as promising illumination sources for passive coherent location due to their almost ubiquitous presence and transmission characteristics. In this work, the potentials of Starlink transmitters as illuminators of opportunity are described, presenting an overview of the Starlink constellation, signal transmission characteristics and real Starlink reference signals acquired at Warsaw University of Technology (WUT). The analysis is completed with an estimation of the power budget, system coverage and expected system resolution. The performances of Starlink based passive radar are also compared with other potential satellite illuminators such as the DVB-S2 broadcasting system and the global navigation satellite systems (Galileo and GPS). Finally, the Starlink-based radar demonstrator under development in WUT is presented.

12:10 A Concept of a Multiband Passive Radar System for Air Traffic Control on General Aviation Airfields
Konrad Jędrzejewski, Mateusz Malanowski, Krzysztof (Chris) Kulpa, Łukasz Maślikowski and Marcin Kamil Baczyk (Warsaw University of Technology, Poland)

The paper is devoted to a concept of a low-cost passive radar system intended to support traffic control in small general aviation airfields. The requirements related to the application of such a radar system as well as the main assumptions concerning the architecture, components, and signal processing, are discussed. The considerations are summed up on the basis of the passive radar system being under construction in the general aviation airfield in Przasnysz, Poland. The first preliminary results of passive radar signal processing using this system are also presented

Tuesday, September 13 13:00 - 13:45

non-tech: A special non-technical session: Happiness...

Room: Heinrich Hertz (room 352)
Our Life - is It Always All About Happiness?
Dorota Myko (Faculty of Electronics and Information Technologies, Warsaw University of Technology & Faculty, Poland)

There have been many different life forms on Earth: from dinosaurs to microscopic phages penetrating unicellular organisms. Albert Schweitzer's work expressed moral respect for all manifestations of life. For centuries, people have done this by giving different meanings and values to their lives. Albert Camus wondered if Sisyphus suffering made sense, Josif Brodsky studied our relation to boredom and Victor Frankl considered the sense of meaning in the life of helping his inmates in a nazi concentration camp. We can wonder if the diet can also make us happier, or if happiness is written in our genes and dependent on where we live on Earth? Psychologists ask themselves: if and when are we in danger of becoming addicted to searching for happiness? And also: what are the ways out of the vicious circle of learned helplessness and pessimism? Perhaps the philosophical writings of Pierre Abelard, known for his love story for Heloisa, will come to our aid, and Erich Fromm can still surprise us with essays?

Tuesday, September 13 13:45 - 15:25

IRSplenary: IRS Plenary talks

Room: James Clerk Maxwell (Aula)
Chairs: Peter Knott (Fraunhofer FHR, Germany), Krzysztof (Chris) Kulpa (Warsaw University of Technology, Poland)
13:45 Protect Your Radar!
Lorenzo Lo Monte (Telephonics, USA)

Lorenzo Lo Monte, an AESS Distinguished Lecturer, will talk about history and challenges of protecting a radar against intentional disturbances.

14:30 Future Spaceborne Radar Missions and Techniques - Insight into Future Missions and Where We are Going from the Perspective of Spaceborne SAR Techniques
Grzegorz Adamiuk (Airbus Defence and Space GmbH, Germany)

Dr. Adamiuk from Airbus Defence and Space, Germany will present the future of spaceborne SAR techniques

15:00 The need for more meaningful radar output
Daniel W O'Hagan (Fraunhofer FHR, Germany)

The majority of radars, even the most modern systems, only perform their eponymous functions of detection and ranging. There is greater need, however, for radars to deliver more semantic information than just detection and ranging. For example, many radars are capable of providing micro-Doppler signatures of targets, but don't, as a given application may not require this presently. Nevertheless, the number of applications is growing rapidly where radar systems should and must deliver more semantic output. Micro-Doppler signatures are an information-rich source that can aid target classification, but are only one obvious example of semantic information. Radar semantics is currently spear-headed by the automotive radar industry, but the potential application areas extend far beyond, including medical sensing, remote sensing, and System-of-Systems for civil and defence applications.

This presentation will make the case for radar semantics and will demonstrate several examples of where, and how, radar can yield more information than just detection and ranging. Radar image segmentation and the importance of Machine Learning (ML) for successful segmentation and labelling of sparse radar data will be mentioned. Moreover, semantic information may alter the conventional processing-chain of certain radar systems. For example, a "scene inference" stage could plausibly precede the tracking stage as this has the potential to improve tracking performance and classification. Radar semantics would be computationally intensive and certain trend areas will be mentioned, such as AI-enabled hardware, cloud-processing, In-Memory Compute (IMC) and Memristor technology as enablers for future radar systems that have to deliver more meaningful output.

T14: Materials and Material Characterisation

Room: Carl Friedrich Gauss (room 300)
Chairs: Maurizio Bozzi (University of Pavia, Italy), Piotr Kowalczyk (Gdańsk University of Technology, Poland)
13:45 Measurements of Glass Bubble Powders from 1-100 GHz in Resonant Cavities and Free Space
Charles A Hill (3M Company, USA); Andrew P Gregory (National Physical Laboratory, United Kingdom (Great Britain)); Brad Givot (3M Company, USA); Nicole Pettit (3M Company); Yong Wu (3M Company, USA)

We use a variety of dielectric measurement techniques-including a 1.8 GHz split-post dielectric resonator, a 2.5 GHz TE01delta mode cylindrical dielectric resonator, a 36 GHz open resonator, and 22-33 GHz and 60-90 GHz free-space quasi-optical benches-to evaluate the dielectric permittivity of four commercial 3M™ Glass Bubbles products.

14:05 Design of a Waveguide Test Cell for Q Band Liquid Permittivity Measurements
Michał Marcin Kalisiak, Wojciech Wiatr and Radosław Papis (Warsaw University of Technology, Poland)

We present a novel design of a rectangular waveguide test cell for measurements of liquid complex permittivity (and permeability) in a 33-50 GHz microwave band. The cell allows multistate 2-port measurements with a vector network analyzer and is compatible with the standard waveguide flange. Using electromagnetic simulations, we investigate the error introduced by the liquid inlet depending on its position, diameter and length.

14:25 Aggregation of Polymeric Polysaccharide-Based Nanoparticles as a Challenge in Microwave Tomography Targetting Breast Cancer
Tomasz Swebocki, Mohamed Sebbache, Rabah Boukherroub and Kamel Haddadi (University of Lille / IEMN CNRS8520, France)

We report the preliminary results of direct extraction of complex permittivity of sodium alginate nanoparticles solutions using microwave open-ended coaxial probing. The study focused on identifying main technical obstacles in such measurements - especially the influence of concentration and aggregation of the nanoparticles on the measured dielectric constant and tangent loss. The experimental data revealed that an increase of alginate nanoparticles concentration is correlated with changes of both loss tangent and dielectric constant.

14:45 Contactless Device for 2D Imaging and Precise Characterisation of Electrical Parameters of Anode Materials for Battery Cells
Marzena Olszewska-Placha (QWED Sp. z o. o., Poland); Athanasios Masouras (PLEIONE Energy S.A., Greece); Andrzej Wieckowski (QWED Sp. z o. o., Poland); Ntorella Chotza (PLEIONE Energy S.A., Greece); Malgorzata Celuch (QWED, Poland)

This work is dedicated to developing new test-fixture for precise characterisation and both, quantitative and qualitative 2D imaging of thin conductive layers used for anodes in battery cells. The device is based on Single-Post Dielectric Resonator method, serving as a microwave probe, adopted to be used in a 2D scanner configuration. The device is designed with the aid of rigorous electromagnetic modelling, taking advantage of its axial symmetry, which is further used for development of a dedicated measurement software transferring resonant frequency and Q-factor of an empty and sample-loaded device into electrical parameters of a sample under test. The designed test-fixture is validated on reference materials samples and further applied to battery anodes material layers for their parameters uniformity evaluation and quality testing.

15:05 FMCW Radar-Based Material Characterization Using Convolutional Neural Network and K-Means Clustering
Salah Abouzaid (Ruhr-Universität Bochum, Germany); Timo Jaeschke (2pi LABS GmbH, Germany); Jan Barowski (Ruhr-Universität Bochum, Germany); Nils Pohl (Ruhr-University Bochum & Fraunhofer FHR, Germany)

This paper proposes a machine learning model and a calibrated frequency-modulated continuous-wave (FMCW) radar sensor to characterize dielectric slabs. First, a calibration concept derived from vector network analyzer (VNA) measurements is used to calibrate the FMCW radar's raw IF signal and to measure the reflection coefficient of a material at a much lower cost than the VNA. Second, the measured reflection coefficient is fitted to a complex-valued convolutional neural network (CNN) to determine the dielectric constant, loss tangent and thickness of the material. K-means clustering is proposed to reduce the complexity of the CNN by significantly reducing the number of classes. The results show that the proposed model enables the extraction of the material parameters with high accuracy.

T15: Focused session - Complete value chains for radio frequency electronics in 5G (B)

Room: Michael Faraday (room 211)
Chairs: Erkan Nevzat Isa (Fraunhofer EMFT, Germany), Lukasz Kulas (Gdansk University of Technology, Poland)
13:45 FMCW Radar-Based Hand Gesture Recognition Using Dual-Stream CNN-GRU Model
Keivan Alirezazad (Universität der Bundeswehr München, Germany)

Contactless human hand gesture recognition has received significant attention in the preceding decade. This paper proposes a novel classification approach utilizing an advanced 77-GHz multiple-input-multiple-output (MIMO) frequency modulated continuous wave (FMCW) radar. We demonstrate how the pre-processed range-Doppler images (RDIs) and range-angle images (RAIs) of this radar could be fed into a dual-stream artificial neural network comprised of 2D convolutional neural network-gated recurrent units (2D CNN-GRU) for human hand gesture classification. According to the conducted experiments, the average accuracy of the proposed classification model with 8-fold cross-validation achieves 92.50%.

14:05 Car Interior Radar for Advanced Life-Signs Detection
Johann Josef Balbach-Sobkowicz (Im Technologiepark & Silicon Radar GmbH, Germany)

European source with RF performance allowing low-power designs with operating frequencies beyond 100GHz (~ 120 GHz) based on 2FDX technology for the first time. A thin RADAR IC for 120 GHz applications will be embedded in cavities of a silicon base wafer and/or into novel glass-based interposer structures and electrically interconnected to an antenna that is located directly aside the IC. RF circuits for IC, antenna and interconnects will be co-designed by 3D full wave EM simulations. This approach enables RF simulation and optimization of the whole IC / antenna package before manufacture. The use of standard semiconductor technology for the RF package on wafer-level ensures very high precision of interconnect geometry and optimum electrical performance. The entire system consists of an analog radar frontend implementing antennas in innovative mass volume packaging solution; assembled to a board (PCB) with additional functionality realizing the demonstrator sensor system. The radar signal processing instance will be used to process the data stream of sensor PCB in order to provide sensor data results to backend systems. The demonstrator will showcase a mm-wave radar solution operating beyond 100GHz (~ 120 GHz) installed in a real vehicle environment.

14:25 Implementation of High Performance Multi-Agent Position Feeding Framework
Burak Senkus (VeNIT Lab, Marmara University, Turkey); Berkay Yaman (VeNIT Lab - Marmara University & BigTRI, Turkey); Mujdat Soyturk (Marmara University, Turkey)

Many devices and systems perform a specific function by working with position data obtained from GPS/GNSS receivers in NMEA 0183 or a similar format. In the testing phase of these devices and systems, position data is usually artificially produced and fed to the systems, so that systems can be tested based on variable positioning information. This approach enables the testing of many different cases/scenarios (using different position information) on the device/system in a short time and at a low cost. However, the position data feeders/generators used in these tests and the device are tested as a conjugate pair. The interoperability test of these devices/systems that have their own position generator cannot be made due to synchronization problems. In this study, a framework that generates positions is proposed to perform integrated testing of many interactive systems in a performant and realistic way. In the performance tests of this proposed and developed framework, low resource usage was observed. According to the test results, position data can be fed to more than 1000 clients in a new generation high-end system that will run this program alone.

14:45 A 24-41.5 GHz LNA with Enhanced IP1dB in 65-nm BULK CMOS for 5G Applications
Mohamed AbdElRhman ElBadry (Cairo University & Si-vision Company, Egypt); Mohamed Mobarak and Mohamed Abdalla (Cairo University, Egypt)

This paper presents a wideband low-noise amplifier (LNA) for 5G applications operating from 24 to 41.5 GHz. The LNA consumes 42.6 mA from 1.2 V supply. A new input 1dB compression point (IP1dB) enhancement technique is introduced that enhances the IP1dB by 3dB at 41.5 GHz with only 2.5% increase in the dc current consumption. The LNA minimum and maximum noise figure (NF) are 2.45 and 3.26 dB respectively. The LNA third-order input intercept point (IIP3) ranges from -4 dBm to -8.5 dBm across the frequency band while achieving a peak gain of 25.88 dB.

15:05 A 24 - 41.5 GHz VGA with Low Phase Variation and Enhanced IIP3 for 5G Applications
Mohamed Ahmed Omran, momran, Mohamed Mobarak and Mohamed Abdalla (Cairo University, Egypt)

In this paper, a wide band high linearity 65-nm CMOS Variable Gain Amplifier (VGA) for millimeter-wave (mm-wave) 5G communications is presented. The VGA achieves 14 dB gain range with 1 dB gain step achieved by 9-bit digital gain control. It realizes a maximum phase variation of 2.28°, RMS phase variation of 1.64° and a maximum gain error of 0.28 dB for all gain states across the frequency range. The maximum achieved gain is 14 dB, and the worst case achieved NF is 3.3 dB at the max gain state. The VGA employs a new linearization technique to enhance the IIP3. The VGA achieves a minimum IIP3 of 12.1 dBm and 12.8 dBm at max and min gain states respectively, and a maximum IIP3 of 16.5 dBm and 18.3 dBm at max and min gain states respectively across frequency. It consumes a total power of 30 mW and occupies an area of 0.29mm 2 excluding the pads.

T16: Focused session - III-V technologies for communications: components & heterogeneous integration

Room: Heinrich Hertz (room 352)
Chair: Krzysztof Czuba (Warsaw University of Technology, Poland)
13:45 Front-End Active Components for Future 6G Wireless Communication in InP-DHBT Technology
Maruf Hossain (Ferdinand-Braun-Institut (FBH) 12489 Berlin, Germany); Hadi Yacob (9 Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik, Germany); Wolfgang Heinrich (Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik, Germany); Viktor Krozer (Goethe University of Frankfurt am Main, Germany)

This article presents front-end module active components such as power amplifiers (PA), oscillator, up-converter, low noise amplifier (LNA) at D-band in the frequency range from 140 GHz to 170 GHz. All of these active components have been realized on FBH's indium phosphide (InP) double heterojunction bipolar transistor (DHBT) transferred-substrate (TS) process.

14:00 Top Heat Spreader on GaN-Based HEMT Devices for Improved Thermal Management
Sylvain Delage and Nicolas Michel (III-V Lab); Jean-CLaude Jacquet (III-V Lab Palaiseau, France); Maziar Shakerzadeh (Nanyang Technological University, France); Edwin Hang Tong Teo (Nanyang Technological University, Singapore); Erhard Kohn (University of Ulm, Germany)

We report an overview of GaN-based High Electron Mobility Transistor (HEMT) thermal management by giving additional heat flow from the top of RF power devices. Different strategies have been tried by our laboratory over the years. All of them have been based on parallel microelectronic processing scheme to preserve cost and high frequency operation. In this article, we present two previous experimental results tried in the past namely with nano-crystalline diamond layers and more recently using boron nitride films. Material and electrical DC and microwave results are presented.

14:15 A Wideband Ultra-Low Current Noise Transimpedance Amplifier for Ultrafast Wideband THz Communication
Tanjil Shivan (Ferdinand-Braun-Institut für Hoechstfrequenztechnik, Berlin, Germany); Maruf Hossain (Ferdinand-Braun-Institut (FBH) 12489 Berlin, Germany); Ralf Doerner (Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik, Germany); Hadi Yacob (9 Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik, Germany); Simon Nellen (Fraunhofer Institute for Telecommunications, Germany); Milan Deumer (Heinrich-Hertz-Institut, HHI, Germany); David De Felipe (Fraunhofer Institute for Telecommunications, Heinrich Hertz Institute, Germany); Bradly Snyder (Phix, The Netherlands); Zerihun Tegegne (PhIX, The Netherlands); Wolfgang Heinrich (Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik, Germany); Viktor Krozer (Ferdinand-Braun-Institut, Germany)

This work reports a wideband transimpedance amplifier MMIC with ultra-low input referred noise current, designed as a part of Teraway project. Being based on transferred substrate InP DHBT process, this work achieves a significant leap forward for ultra-low noise transimpedance amplifier design particularly in HBT based processes, in which, low noise characteristic is fundamentally challenging to achieve. Using a low bias current for amplification, the noise current is kept low. As a result, the amplification is achieved without destroying the noise current performance of the circuit. A 3-dB bandwidth of DC to 10 GHz was achieved with a transimpedance gain of beyond 50 dBΩ with a measured input refer noise current density of 3 pAHz. To the authors best of knowledge, this is the lowest reported input referred noise current density for InP DHBT based TIA covering such wide bandwidth.

14:30 InP DHBT D-Band Stacked Power Amplifier
Tom Johansen (Technical University of Denmark, Denmark); Virginie Nodjiadjim (Alcatel-Thales 3-5 Lab, France); Muriel Riet (Alcatel Thales III-V Lab, joint la: Bell Labs and Thales Research and Technology, France); Colin Mismer and Romain Hersent (III-V Lab, France); Agnieszka Konczykowska (ADesign, France)

In this paper, a D-band stacked power amplifier in a 0.7-µm InP DHBT technology is reported. The power amplifier is implemented using a novel finite-ground elevated coplanar waveguide (FG-ECPW) interconnect environment leading to low-loss power combination. A miniaturized Wilkinson power combiner demonstrates a low loss of ~0.5 dB when measured in a back-to-back configuration. A fabricated two-stage two-way combined triple stacked power amplifier demonstrates a small-signal gain of 14.9 dB at 118 GHz and a -3 dB bandwidth from 111.2 GHz to 130.6 GHz. The amplifier reaches a peak output power of 17 dBm at 120 GHz. The corresponding large-signal power gain is 9 dB and the PAE is 7.2%.

14:45 AlGaN/GaN Schottky Barrier Single-Pole Single-Throw RF Switch
Yevhen Yashchyshyn, Paweł R. Bajurko and Jakub Sobolewski (Warsaw University of Technology, Poland); Pavlo Sai, Sergey Rumyantsev and Grzegorz Cywinski (CENTERA Laboratories, Institute of High Pressure Physics PAS, Poland)

This paper presents three types of single-pole single-throw RF switch utilizing AlGaN/GaN Schottky junction as distributed switching elements integrated in coplanar waveguide structure. The switches are designed in shunt topology, which allows to achieve good high frequency performance. First type of switch is driven through RF port, while the remaining ones are driven by a separate electrode. The designs with separate driving electrode allow operation in very broad frequency band down to DC. The third design further improves the switch performance by elimination of impedance discontinuities in the signal path. The best performance is achieved by the third design with 18 dB on-off ratio and 4.5 dB insertion loss at 50 GHz, as well as less than −14 dB reflection coefficient in the 10 MHz - 50 GHz frequency range. Observed performance improvement at high frequency indicates a good perspective for this kind of switch for higher frequencies up to the sub-terahertz band.

15:00 112 GBaud (224 Gb/S) Large Output Swing InP DHBT PAM-4 DAC-Driver
Agnieszka Konczykowska (ADesign, France); Romain Hersent (III-V Lab, France); Filipe Jorge and Muriel Riet (Alcatel Thales III-V Lab, joint la: Bell Labs and Thales Research and Technology, France); Virginie Nodjiadjim (Alcatel-Thales 3-5 Lab, France); Colin Mismer (III-V Lab, France); Colombo Bolognesi (ETH Zürich & Millimeter-Wave Electronics, Switzerland); Olivier Ostinelli (ETH Zurich, Switzerland); Jean-Yves Dupuy (on leave from III-V Lab, France)

In this paper, we report on the design, optimisation and electrical measurements of a PAM-4 DAC-driver fabricated in 0.7-µm InP/GaAsSb DHBT technology, with the capability to directly drive an electro-optical modulator. Circuit measurements in PAM-4 mode show high diagram quality at 90 GBaud (180 Gb/s) with a record 5.5-Vpp differential output swing. An over 12-dB gain control capability is shown. Moreover, a record 3.35-Vpp output swing is obtained in PAM-4 at 112 GBaud (224 Gb/s). Power consumption is 1.1 and 0.6 W for two operating symbol-rates respectively.

T17: Sensors

Room: John Henry Poynting (room 264)
Chair: Piotr Słobodzian (Wroclaw University of Technology & Faculty of Electronics, Poland)
13:45 Crack Detection in Metallic Surfaces Based on Dumbbell-Shaped Defected Ground Structures in Microstrip Technology
Zahra Shaterian (Technical and Vocational University (TVU), Iran); Michal Mrozowski (Gdansk University of Technology, Poland)

In this paper, a novel crack detection sensor using a microstrip loaded with a Dumbbell-Shaped Defected Ground Structure (DS-DGS) is proposed. The sensing element is etched in the ground plane of a microstrip line and it is easy to fabricate. The electromagnetic (EM) field of the microstrip couples to the DS-DGS, thus demonstrating a bandstop behavior. It is shown that in the presence of a crack in a metallic surface underneath the sensor, the resonance frequency of the DS-DGS is shifted. This frequency shift can be used for crack sensing in metallic surfaces. The proposed sensor exhibits a good sensitivity above 260 MHz shift for a crack with a 200 \mu m width at the relatively low operating frequency of around 2 GHz.

14:05 A Compact and Lightweight Microwave Tilt Sensor Based on an SRR-Loaded Microstrip Line
Ali K. Horestani (Gdansk University of Technology, Poland); Zahra Shaterian (Technical and Vocational University (TVU), Iran); Michal Mrozowski (Gdansk University of Technology, Poland)

In this paper, the symmetry property of split ring resonators (SRRs) is exploited to develop a tilt sensor. The sensor is composed of an SRR-loaded microstrip line operating at microwave frequencies. It is shown that the depth of notch in the reflection characteristic of the microstrip is a function of the tilt angle of the SRR. Thus, it can be used for sensing inclination. The sensor benefits from very compact size and light weight. Moreover, it is operated at a single frequency, therefore can be used with a simple power meter as the readout circuit. EM simulations show that the proposed sensor exhibits a good average sensitivity of 1 dB/degree while providing a relatively wide span of 25 degrees.

14:25 Contactless Temperature Sensing Utilizing Resonant Antenna Loaded with Thermistor
Łukasz Maciejewski (Microsensor Sp. z o. o., Poland); Mateusz Mazur (RFLine Mateusz Mazur, Poland); Daniel Pilecki-Silva (DANIT, Poland)

In this paper the passive sensor allowing contactless temperature measurements is described. The solution is dedicated for industrial rotating equipment like reciprocating engines or compressors operating in hazardous (eg. explosive) environment. Presence of mineral oil particles and combustion products does not allow to use common optical measurement methods. The defined user case requires easy sensor installation and no wired or battery power supply. As any service requires stopping the entire machinery and processes it drives, the simplicity and robustness of the sensor is an advantage. The proposed solution is based on a microstrip resonant antenna operating in 2.4 GHz ISM band and loaded with thermistor directly or via a coaxial line. First, the application is extensively described and the rationale behind the work is presented. Next, the theoretical considerations and simulation results are presented to confirm the concept feasibility. The hazardous environment and other on-site operation factors are taken into account in the design of the sensor and system. The experiments proving concept of operation were conducted and described in that paper. The representative results are revealed and demonstrate a linear relation between measured resonance depth and the sensor temperature. The achieved performance allowed to formulate final conclusions on the developed solution.

14:45 Microwave Ring Resonator Based Pressure Sensor
Abhishek Jha (IIT Tirupati, Poland); Michal Mrozowski (Gdansk University of Technology, Poland)

This paper demonstrates a microwave pressure sensor, which is based on microstrip line-fed ring resonators. The first ring resonator is loaded with the concentric cylindrical shafts, while the same number of the hollow shanks as the shafts are mounted on the second ring resonator. The arrangement of the cylindrical shaft and hollow shank allows for mechanical movement between two substrates while enabling electrical contact. The external pressure applied to the substrate translates the cylindrical shaft into the hollow shank, resulting in a change in the resonant frequency. The proposed sensor is found to measure an applied pressure up to 40.5 kPa with an average sensitivity of 9.62 kHz/Pa.

15:05 Miniaturized Vivaldi Antenna for Moist Estimation in Masonry Walls
Miroslaw Czyzewski and Zenon R Szczepaniak (Military University of Technology, Poland); Adam Slowik (Military University of Thechnology, Poland)

The paper comprises a design of miniaturized tapered-slot Vivaldi antenna (TSA), intended to be used in microwave systems for evaluation of moist content in masonry materials and walls. Similarly to Trough-the-Wall sensing systems and Ground Penetrating Radars, they are intended to operate in very complex media for propagation of EM wave. Vivaldi antennas seems to be an ideal solution for this type of applications. The paper covers whole design process of the antenna, from a concept to the modified version, which fulfills requirements for small size and maximal bandwidth equal to 1,6-10 GHz.
Additionally the measurements results comparing the designed antenna and SAS-571 double-ridge horn reference antenna are presented. The results analysis has confirmed applicability of the designed Vivaldi antenna in moist estimation of building materials and allows defining further investigations.

T18: T18

Room: Andre Ampere (room 360)

Tuesday, September 13 15:45 - 17:25

T19: THz and Sub-THz Technology

Room: James Clerk Maxwell (Aula)
Chairs: Viktor Krozer (Goethe University of Frankfurt am Main, Germany), Yevhen Yashchyshyn (Warsaw University of Technology, Poland)
15:45 Comparative Analysis of Sub-THz Detection in Graphene, GaN HEMT, and FinFET Devices
Adil Rehman (Institute of High Pressure Physics, Polish Academy of Sciences, Poland)

We studied temperature-dependent photo response in the sub-THz regime (0.14 THz) of graphene and AlGaN/GaN-based field-effect transistors (FETs). Instead of measuring the voltage response using a lock-in amplifier, the current induced by the incoming sub-THz radiations was measured directly using the semiconductor parameters analyzer (SPA). Such approach allows fast and multiple measurements as a function of temperature. We have observed that, while responsivity increase saturates at 50-100K, depending on the device, the noise equivalent power continue to decrease with the temperature decrease. Our results show the advantage of the graphene-based detectors over the GaN-based ones while operating at low temperatures.

16:05 Above and Below Threshold Terahertz Plasmon Modes in AlGaN/GaN Grating-Gate HEMTs
Pavlo Sai (CENTERA Laboratories, Institute of High Pressure Physics PAS, Poland); Maksym Dub (Institute of High Pressure Physics PAS & V. Ye. Lashkaryov Institute of Semiconductor Physics NASU, Poland); Dmytro B. But (CENTERA LAboratory, Institute of High Pressure Physics PAS, Poland & V. Ye. Lashkaryov Institute of Semiconductor Physics NASU, Ukraine); Maciej Sakowicz (Institute of High Pressure Physics PAS, Poland); Mateusz Słowikowski and Maciej Filipiak (CEZAMAT, Warsaw University of Technology, Poland); Grzegorz Cywinski (Institute of High Pressure Physics PAS, Poland); Sergey Rumyantsev (Rensselaer Polytechnic Institute & Ioffe Institute, USA); Wojciech Knap (Université Montpellier, CNRS, France)

In this work, we studied the gate voltage dependence of THz transmission spectra of AlGaN/GaN grating-gate structures consisting of two-dimensional electron gas (2DEG) covered by high active area (2x2 mm2) metal surface grating. Resonance absorption minima revealed in the measured THz spectra were related to 2D plasma resonances existing in the grating plasmonic structures. The high quality of the grating-gate electrode allows us to investigate the plasmon modes in the wide range of the applied gate voltages: above and far below the threshold voltage.

16:25 Feedback Interferometry with Integrated 260 GHz BiCMOS Emitter
Dmytro B. But (CENTERA LAboratory, Institute of High Pressure Physics PAS, Poland & V. Ye. Lashkaryov Institute of Semiconductor Physics NASU, Ukraine); Wojciech Knap (Université Montpellier, CNRS, France); Kestutis Ikamas (Vilnius University & The General Jonas Žemaitis Military Academy of Lithuania, Lithuania); Alvydas Lisauskas (Vilnius University, Lithuania); Cezary Kołacinski (CENTERA LAboratory, Institute of High Pressure Physics PAS, Poland); Ieva Morkūnaitė (Vilnius University, Lithuania)

Compact terahertz emitters are highly regarded devices for a wide range of applications. In this work, we present a study on the effect of feedback interferometry which manifests itself in devices implemented in a 130 nm SiGe BiCMOS integrated-circuit technology. The emitters are based on a voltage-controlled oscillator (VCO) that employs a differential Colpitts configuration with optimized emission frequency at fundamental harmonic. The radiation is outcoupled through the substrate side using a hyper-hemispheric silicon lens. The source emits up to 0.3 mW of propagating power, which is tuneable in the frequency range from 258 to 262 GHz. When the part of radiation gets reflected from an arbitrary object located in the beam path and couples back into the oscillator, it interferes and produces a self-mixing current which in magnitude can exceed several percent compared with the bias current. Produced current change can be measured either in the dc regime or by employing signal modulation techniques. Furthermore, the magnitude of self-mixing strongly depends on the selected bias conditions. Finally, we demonstrate the applicability of the self-mixing effect to perform coherent reflection-type imaging.

16:45 Metasurface-Coupled Near-Field Sensor Implemented in 180nm CMOS
Alexander V Chernyadiev (Institute of High Pressure Physics PAS & CENTERA Labs, Poland); Dmytro B. But (CENTERA LAboratory, Institute of High Pressure Physics PAS, Poland & V. Ye. Lashkaryov Institute of Semiconductor Physics NASU, Ukraine); Cezary Kołacinski (CENTERA LAboratory, Institute of High Pressure Physics PAS, Poland); Kestutis Ikamas (Vilnius University & The General Jonas Žemaitis Military Academy of Lithuania, Lithuania); Alvydas Lisauskas (Vilnius University, Lithuania)

In this contribution we present the concept of near-field sensor employing electromagnetic coupling between the 350 GHz resonant antenna and the metasurface constructed from an array of split-ring structures which are monolithically integrated using a 180 nm silicon-based CMOS technology. We experimentally verify the coupling between these structures and show prospects of using this phenomenon for near-field sensing applications.

17:05 Terahertz Detector Based on T-Channel JLFET with Improved Antenna Coupling Circuit
Paweł R. Bajurko (Warsaw University of Technology, Poland); Jacek Marczewski (Institute of Microelectronics and Photonics, Lukasiewicz Research Network, Poland); Michał Zaborowski (Institute Microelectronics and Photonics, Lukasiewicz Research Network, Poland); Przemysław Zagrajek (Military Institute of Technology, Poland); Jakub Sobolewski and Yevhen Yashchyshyn (Warsaw University of Technology, Poland); Thomas Skotnicki (Institute of High Pressure Physics of Polish Academy of Sciences, CENTERA Labs, Poland)

Junctionless Field Effect Transistor (JLFET) based terahertz detectors are the novel type of devices with favorable characteristics such as high zero bias responsivity. However until now their performance was lower than other types of silicon FET detectors. One of the most important factors for detector performance is efficiency of energy coupling. The antenna coupling circuit comprising capacitive gate feeding and inductive loop provides improved matching and reduced losses resulting in enhanced performance of JLFET terahertz detector. In conjunction with a transistor architecture containing a T-shaped channel, it ensures competitive performance of the detector.

17:25 Optimization of the Dielectric Waveguide Sensor Using Analysis of Confinement of the Guided Wave
Valeri Mikhnev (CENTERA Labs., Institute of High Pressure Physics, Polish Academy of Sciences, Poland); Kamil Stelmaszczyk (Institute of High Pressure Physics, Poland); Wojciech Knap (Université Montpellier, CNRS, France)

A modified method of approximate analytical description of the guided waves of a rectangular dielectric waveguide (RDW) is proposed. The presented formulation is valid, with no modification, for both TE- and TM-like fundamental waveguide modes and thus allows to evaluate characteristics of propagating waves in a wide range of the waveguide parameters. In this work, this method was used to find optimal dimensions of RDW in the sense of the best confinement of the propagating wave. The spatial resolution in the near-field measurements apparently depends on the degree of confinement. Some experimental examples of the millimeter-wave near-field imaging with subwavelength resolution are presented.

T20: Electronic Materials and Material Characterisation

Room: Carl Friedrich Gauss (room 300)
Chairs: Marzena Olszewska-Placha (QWED Sp. z o. o., Poland), Bartłomiej Salski (Warsaw University of Technology, Poland)
15:45 Novel Low-Loss Substrates for 5G Applications
Krzysztof Babicki (Gdansk University of Technology, Poland); Ali K. Horestani (Wireless Telecommunication Group, ARI, Ministry of Science, Research and Technology, Poland & Gdansk University of Technology, Poland); Adam Lamecki and Michal Mrozowski (Gdansk University of Technology, Poland); Michal Baranowski (Gdansk University of Technology & Faculty of Electronics, Telecommunication and Informatics, Poland); Anna Wroblewska (Politechnika Warszawska, Poland); Mariusz Zdrojek, Bartłomiej Salski and Jerzy Krupka (Warsaw University of Technology, Poland)

This paper presents a feasibility study of a new type of microwave low-loss dielectric substrates for 5G network applications. The new substrate materials are composites of polypropylene and high-dielectric-constant micro-ceramics. This combination is expected to form a very low-loss dielectric material at low fabrication cost. Two substrate samples with different dielectric properties are fabricated and their characteristics at microwave frequencies are investigated in this paper. The investigation covers two scenarios for the metallization of the substrates to form printed circuit boards. The dielectric properties of the materials are measured using the split post dielectric resonator technique. Furthermore, the quality of the materials is experimentally verified though the design, fabrication, and measurement of simple resonator structures.

16:05 Measurements of Profile-Dependent Conductivity of Copper-Clad Laminates with Ring Resonators
Pawel Kopyt, Jerzy Cuper and Bartłomiej Salski (Warsaw University of Technology, Poland)

Dielectric-based laminates are commonly used. Conductive losses of transmission lines manufactured on a laminate depend strongly on the conductor profile, particularly when copper cladding were obtained with electro-deposition processes degrading the conductivity of one side of the foil due to roughness. The goal of the paper is, thus, to propose a simplified formula that accounts for two different conductivities of a conductor in a microstrip. We also extract the conductivity loss with a dedicated set of ring-resonators of carefully selected dimensions.

16:25 2D Imaging Technique for Quantitative and Qualitative Characterisation of High-Resistivity GaN Semiconductor Wafers for Light and Power Electronics
Marzena Olszewska-Placha (QWED Sp. z o. o., Poland); Ewelina Mozdzynska (Lukasiewicz Research Network -IMiP, Poland); Janusz Rudnicki (QWED Sp. z o. o., Poland); Malgorzata Celuch (QWED, Poland)

In this work an automatic SPDR scanner is applied to 2D surface imaging of electrical parameters of GaN semiconductor wafer. The homogeneity of electrical parameters of GaN template is crucial for light and power electronics allowing for enhancing packaging efficiency and obtaining high quality and repeatability of resulting devices. For the first time, the new 10GHz SPDR scanner is applied to obtain 2D resistivity map of GaN template delivering both, quantitative and qualitative measure of inhomogeneities of the semiconductor structure.

16:45 Effective Complex Permittivity Measurement of 3D Printed Artificial Dielectric Substrate Based on a Cross Unit Cell
Petr Kadera and Jaroslav Lacik (Brno University of Technology, Czech Republic)

This paper presents an effective complex permittivity measurement of 3D printed artificial dielectric substrate. Two resonator methods are employed at the frequencies of 7.16 GHz and 7.06 GHz operating with TE011 and TM010 modes, respectively, for longitudinal and transversal permittivity component extraction. The measured results for the low permittivity polylactic acid (PLA) material are compared to the analytical models based on the parallel plate capacitor networks and numerical simulations in CST Studio Suite. The artificial dielectric substrates exhibit the maximum measured anisotropy of 9.15 % for the effective relative permittivity and 58 % for effective tangent loss. This can be useful for novel microwave designs using controlled spatially variant permittivity distribution.

17:05 Loss Tangent Uncertainty in Resonant Microwave Characterization of Dielectric Materials
Bartłomiej Salski, Pawel Kopyt and Mateusz Krysicki (Warsaw University of Technology, Poland); Marzena Olszewska-Placha (QWED Sp. z o. o., Poland)

The extraction of the dielectric constant with resonant microwave methods is usually very robust as it relies on the measurement of the resonance frequency shift, which is well-defined and measured in dozens or even hundreds of MHz. On the contrary, loss tangent is extracted from a resonance frequency bandwidth measured rather at a kHz scale and due to several reasons is much more prone to fluctuations. A detailed study on how to control the uncertainty of the loss tangent extraction with resonant methods lacks in the literature, consequently, measurement limits of many resonant methods are a bit vague. Therefore, this paper deals with the uncertainty of the loss tangent measurement with resonant microwave methods and proposes practical means to control it. Presented study is not limited to a particular type of a resonator, although the main attention has been focused in this paper on a Fabry-Perot open resonator and a split-post dielectric resonator, which are the most accurate resonant microwave methods known in the literature.

T21: Focused Session - Intelligent, secure and reliable wireless systems

Room: Michael Faraday (room 211)
Chairs: Mateusz Rzymowski (Gdansk University of Technology & WiComm Center of Excellence, Poland), Ramiro Samano-Robles (Research Centre in Real Time and Embedded Systems, Portugal)
15:45 Anchor Pair Selection in TDOA Positioning Systems by Door Transition Error Minimization
Marcin Kolakowski and Jozef Modelski (Warsaw University of Technology, Poland)

This paper presents an adaptive anchor pairs selection algorithm for UWB (ultra-wideband) TDOA-based (Time Difference of Arrival) indoor positioning systems. The method assumes dividing the system operation area into zones. The most favorable anchor pairs are selected by minimizing the positioning errors in doorways leading to these zones where possible users' locations are limited to small, narrow areas. The sets are determined separately for going in and out of the zone, allowing users' body shadowing into account. The determined anchor pairs are then used to calculate TDOA values and localize a user moving around the apartment using the Extended Kalman Filter algorithm.

The method was tested experimentally in a furnished apartment. The results have shown that the adaptive selection of the anchor pairs leads to an increase in the user's localization accuracy. The median trajectory error was about 0.32 m.

16:05 Orthogonal Space-Time Block Coding for V2V LOS Links with Ground Reflections
Miguel Gutierrez Gaitan (Universidade do Porto, Portugal); Ramiro Samano-Robles (Research Centre in Real Time and Embedded Systems, Portugal)

This work presents a capacity analysis of Space-Time Block Codes (STBC) for Vehicle-to-Vehicle (V2V) communication in Line-of-Sight (LOS). The aim is to assess how this type of coding performs when the V2V LOS channel is influenced by ground reflections. STBCs of various coding rates are evaluated using antenna elements distributed over the surface of two contiguous vehicles. A multi-ray tracing tool is used to model the multiple constructive/destructive interference patterns of the transmitted/received signals by all pairs of Tx-Rx antenna links. Simulation results show that STBCs are capable of counteracting fades produced by the destructive self-interference components across a range of inter-vehicle distances. Notably, the effectiveness in deep fades is shown to outperform schemes with exclusive receive diversity. Higher-order STBCs with rate losses are also evaluated, showing interesting gains even for low coding rate performance, particularly, when accompanied by a multiple antenna receiver. Overall, these results can shed light on how to exploit transmit diversity in slow fading vehicular channels.

16:25 Wireless Channel Prediction Using Artificial Intelligence with Constrained Data Sets
Gowhar Javanmardi (ISEP, Portugal); Ramiro Samano-Robles (Research Centre in Real Time and Embedded Systems, Portugal)

This work deals with the study of artificial intelligence (AI) tools for purposes of vehicular wireless channel prediction. The objective is to test the ability of different types of AI and machine learning (ML) algorithms under different types of implementation constraints. We focus particularly in highly changing scenarios where the channel state information changes relatively fast and therefore the relevant measurements or long-term statistical models are therefore scarce. This means that the training of our models can be potentially inaccurate or incomplete and we need to investigate which AI algorithm behaves better in this challenging condition. In future work we aim to investigate also computation complexity constraints, real-time deadlines, and outdated/distorted or noisy data set samples. We also aim to correlate the main properties of the well-known Jakes' channel model with the effectiveness of the type of prediction and the parameters of the different algorithms being tested. The objective of channel prediction in vehicular networks is to reduce allocation and transmission errors, thereby reducing latency and improving message transmission reliability, which is crucial for future applications such as autonomous vehicles. Results show that even in situations with incomplete data sets, AI can provide good approximate predictions on the channel outcome,

16:45 Biometric Recognition Using Microwave Reflection Spectroscopy
Emanuele Maiorana (Roma Tre University, Italy); Davide Ramaccia (RomaTre University, Italy); Luca Stefanini, Alessandro Toscano and Filiberto Bilotti (Roma Tre University, Italy); Patrizio Campisi (Università degli Studi Roma Tre, Italy)

Biometric recognition systems exploit individuals' physical or behavioral characteristics to automatically discriminate between legitimate users and impostors, thus allowing to implement secure access control mechanisms for either physical locations or logical services. In this contribution, we investigate the feasibility of recognizing a subject through the analysis of the electromagnetic response obtained when a specific part of the body interacts with the electromagnetic field radiated by a microwave antenna. In detail, measurements of the reflection coefficient resulting when different subjects place their hands upon the radiating aperture of the antenna are taken and used to extract discriminative features characterizing the involved users. To evaluate whether the considered recognition modality could be exploited in real-world applications, multiple recordings are taken from each of the considered subjects in distinct days and employed in the performed experimental tests to estimate the achievable recognition performance. The obtained results show that the proposed paradigm can be effectively employed to implement a stand-alone system performing biometric recognition, or exploited to design multi-biometric frameworks when used together with other well-established recognition modalities, such as those based on palm prints or palm veins.

17:05 Low-Cost 3D Printed Dielectric Lens Antennas for 5.9 GHz Frequency Band V2X Applications
Weronika Kalista and Luiza Leszkowska (Gdansk University of Technology, Poland); Mateusz Rzymowski (Gdansk University of Technology & WiComm Center of Excellence, Poland); Krzysztof Nyka and Lukasz Kulas (Gdansk University of Technology, Poland)

In this paper a selection of designs of directional lens antennas for V2X communication is presented and investigated. We have compared five different types of all-dielectric 3D-printed lenses - extended hemispherical, ellipsoidal, zoned cylindrical, planar graded index, and modified Luneburg lens, all of them using the same patch radiator. The assumed fabrication method for all lenses is 3D printing using low-cost PLA filament. The antennas with the most promising simulated performance and lens constructions were fabricated and measured.

T22: Passive components and applications

Room: Heinrich Hertz (room 352)
Chairs: Ali K. Horestani (Wireless Telecommunication Group, ARI, Ministry of Science, Research and Technology, Poland & Gdansk University of Technology, Poland), Adam Lamecki (Gdansk University of Technology, Poland)
15:45 Design and Experimental Investigation of the Waveguide-To-Stripline Transition for V-Band Applications
Piotr Kurgan (Gdansk University of Technology, Poland); Beata Barteczka (Thorium Space Sp. z o. o., Poland)

This work presents a simple and easily realizable in a standard PCB fabrication process waveguide-to-stripline transition for V-band applications. The EM-simulated back-to-back design exhibits a 4.15 GHz (5.9%) operational bandwidth and a 1.18-dB insertion loss at the center frequency of 70 GHz. The experimental results show a 5-GHz (~7%) shift of the frequency response, which has been identified as the result of waveguide misalignment. This finding has been additionally confirmed by EM simulations that illustrate similar bandwidth and insertion loss as measured.

16:05 High Isolation X-Band and S-Band Diplexers in Economical Technologies for Space Applications
Robert Stefanski (al. Zwyciestwa 96/98 & WiRan sp. z o. o., Poland); Rafał Ratajczyk (WiRan Sp. z O. O., Poland); Jaroslaw Stepien (Wroclaw University of Science and Technology, Poland)

This paper presents the development and test results of the X-band Substrate Integrated Waveguide SIW diplexer and S-band suspended strip line diplexer. It includes a description of the design flow and test plan issued for both diplexers, which are soon to acquire high Technology Readiness Levels (TRL). Complex topologies together with novel, economically attractive technologies, allowed for very compact final solutions. RF & thermal co-simulation and mechanical simulations for modal analysis, vibrations and shock have been performed prior to testing. Isolation level of more than 80 dB was achieved in both solutions, which is unique in planar filtering solutions. Final devices have been qualified and the S-band diplexer has reached TRL9, while the X-band diplexer has reached TRL7.

16:25 Satellite Identification Beacon System for PocketQube Mission
Tibor Herman (Budapest University of Technology and Economics & BHE Bonn Hungary Kft., Hungary); Levente Dudás (Budapest University of Technology and Economics (BME), Hungary)

PocketQube class satellites are gaining popularity nowadays. The concept of a cheap spacecraft is ideal for universities on a budget because they can teach students how to design, build and operate a real satellite in space. Although, the number of these satellites grow, the mission success rate is under 5%, so most of them are orbiting Earth as space debris, with dimensions close to the resolution of NORAD's radars, so they are quite difficult to track. Even if the launch is successful and the satellite is operational, the orbit estimation is quite inaccurate during the first few weeks, which can cause loss of telemetry data. In this article the satellite identification beacon system of MRC-100, a 3-unit PocketQube is described, providing accurate position data about the spacecraft in order to determine orbit information from the beginning of the mission.

16:45 A Simple Method to Design Ridge Waveguide Filters with Evanescent Mode Couplings
Onur Ozan Ozturk (ASELSAN INC., Turkey); Nevzat Yildirim (Middle East Technical University (METU) & Consultant at Aselsan Military Ind., Ankara, Turkey)

A simple approach is introduced to design ridge waveguide (RWG) filters with evanescent waveguide (EWG) couplings based on the observation that when all inverters and all resonators of an inverter coupled filter are replaced by equal length EWG pieces and equal length RWG pieces respectively, all responses are destroyed (bandwidth changes, passband shifts away and passband ripple increases) but the number of reflection zeros are preserved. It is noticed that the targeted responses of this highly distorted filter can be recovered simply by tuning lengths of EWG and RWG pieces in a systematic manner. Two examples are presented.

17:05 Additively Fabricated 90° Waveguide Twist Integrated with Printed Circuit Board
Jakub Sorocki, Ilona Piekarz, Slawomir Gruszczynski and Krzysztof Wincza (AGH University of Science and Technology, Poland)

The paper presents an implementation of a 90-deg twist for polarization rotation in a PCB-integrated air-filled waveguide. A recently developed stack-up that combines on-PCB microstrip line with additively fabricated waveguide by sharing the same ground plane on PCB for high integrated electronics applications is explored. The twist construction that maintains a constant-plane orientation of one of the walls with on-PCB metal is adopted. The concept was experimentally verified by fabrication and measurements of an exemplary twist in WR-42 waveguide geometry centered at f0 = 10 GHz. The results prove that two polarization orientations can be used in the integrated stack-up.

Tuesday, September 13 15:45 - 17:35

T23: Advanced radar techniques 1

...with a keynote speech of Hugo Enrique Hernandez-Figueroa (Unicamp, Brazil)
Room: John Henry Poynting (room 264)
Chair: Istvan Balajti (University of Debrecen, Hungary)
15:45 SAR P-Band Tomography for Ant Nests Detection in Industrial Forests
Hugo Enrique Hernandez-Figueroa (Unicamp, Brazil)

Leaf-cutting ants' presence in commercial forest plantations is one of the main causes of significant biomass and productivity losses in subtropical areas. Therefore, the development of monitoring tools that permits the extraction of information below the surface in large areas, such as SAR systems, is of crucial importance. In this talk, a set of unprecedented full-wave electromagnetic simulations for the detection of leaf-cutting ant nests with 6 up to 385 chambers in industrial forests will be presented in detail. The numerical tests' sets range from a single-signal case to a tomographic processing based on SAR imaging. The obtained results are novel and accurate and their use in real situations in conjunction with raw-data-based SAR mapping procedures will also be discussed.

16:15 Doppler Signature Analysis in Over-The-Horizon Radar for Target with Time-Varying Velocity
Yimin D. Zhang (Temple University, USA); Braham Himed (AFRL, USA)

Doppler signature analysis of targets, particularly micro-multipath signals, plays an important role in target trajectory analysis and tracking in over-the-horizon radar. In this paper, we examine the Doppler signatures of micro-multipath signals for a target that moves with a constant altitude but its velocity varies due to, for example, turbulence. We first describe the effect of such velocity variation in the resulting Doppler signatures under the micro-multipath model. Noticing that the velocity variation changes the Doppler signatures of all micro-multipath components in a similar manner, the self-stationarization approach is applied to provide a robust Doppler difference estimation.

16:35 Real-Time Frequency Management System (FMS) for Sky-Wave High-Latitude Over-The-Horizon Radar (OTHR)
Thayananthan Thayaparan (Radar Applications and Space Technology, Defence Research and Development Canada, Ottawa, Canada)

A real-time frequency management system (FMS) is necessary for efficient operation of over-the-horizon-radar (OTHR) in high-latitude locations such as Canada's Arctic regions. The FMS in this demonstration merges the results of an environmental monitor and a spectrum monitor in realtime. The environmental monitor uses the Assimilation Canadian High Arctic Ionospheric Model (A-CHAIM), which at present is the most accurate model available for real-time use in high-latitude regions. A-CHAIM incorporates near-real-time data into a background model to account for the rapid changes that often occur in the high-latitude and polar ionosphere. This demonstration between a simulated transmitter and four receiver sites in northern Canada was performed during the day and night of October 11, 2020 (fall) and June 21, 2021 (summer). The demonstration showed that the FMS successfully merged the environmental monitor and spectrum monitor results in realtime. The FMS is now ready for around-the-clock operational use.

16:55 Circular Loop, E-Patch, Blade, and Spiral Array Antennas for Cyber-Physical System Applications
Adina Jubangaliyeva, Edisa Shoshi, Paula Pinto and Nada Aroob Bealallo (Debrecen, Hungary); Masuk Abdullah and Istvan Balajti (University of Debrecen, Hungary)

Different kind of multi-element arrays are designed to increase performance of the Cyber-Physical System. Cyber-Physical System is the leading technology in the internet-based factories and research management, where the communication and the sensors need advanced PCB based multi-frequency band antenna constructions. The design of four distinct types of 5-element array antennas for 2.4, 5.8 and 9.5 GHz frequency is presented in this paper. Furthermore, the brief description of the dipole blade, circular loop, E-patch and Archimedean spiral antennas can be given, along with a comparison of their key characteristic and phased array performances.

17:15 Cyber-Physical System Aspects of Microstrip Patch Antenna of Radar Sensor Application
Masuk Abdullah, Kende Orosz, Husam Almusawi and Istvan Balajti (University of Debrecen, Hungary)

The paper focuses on Patch Microstrip Antennas for Radar Sensors Systems (RSS) in the Cyber-Physical Systems (CPS) environment. The CPS and subfield Internet of Things (IoT) present a diagram of existing investigation on RSS using Microstrip Patch Antenna (MPA). The subject primary concern is to draw attention to the PCB MPA opportunities and their fabrication challenges. To do this three different types of PCB antenna design characteristics are investigated.

Tuesday, September 13 15:45 - 17:25

T24: Passive and MIMO radar

Room: Andre Ampere (room 360)
Chairs: Łukasz Maślikowski (Warsaw University of Technology, Poland), Amir Masoud Molaei (Queen's University Belfast, United Kingdom (Great Britain))
15:45 Doppler Robustness Analysis of Orthogonal Sequences for MIMO PMCW Radar
Theresa Antes, Lucas Giroto de Oliveira, Elizabeth Bekker and Akanksha Bhutani (Karlsruhe Institute of Technology, Germany); Thomas Zwick (Karlsruhe Institute of Technology (KIT), Germany)

Phase modulated continuous wave (PMCW) radars are gaining attention as an alternative to currently used radar systems such as chirp sequence and orthogonal frequency-division multiplexing (OFDM) in a variety of applications. The use of orthogonal sequences enables multiple-input multiple-output (MIMO) operation, but the achieved orthogonality, measured as separability, suffers from degradation in the presence of moving targets. Orthogonal sequences for MIMO PMCW radars are investigated in terms of the achieved separability and the degradation experienced due to non-zero Doppler shifts. More precisely, m-sequences, Gold sequences, Kasami sequences, ZCZ sequences, and Golay sequences are presented and a correlation performance aware sequence choice is introduced.

16:05 Real-Time Radar Algorithms for Multistatic Millimetre-Wave Imaging with Sparse Apertures
Vasiliki Skouroliakou and Amir Masoud Molaei (Queen's University Belfast, United Kingdom (Great Britain)); Okan Yurduseven (Queen's University Belfast & Duke University, United Kingdom (Great Britain)); Vincent Fusco (Queen's University Belfast, United Kingdom (Great Britain))

A majority of radar algorithms leverage frequency domain image reconstruction, based on Fourier transformation, to achieve fast image acquisition. Particularly, in applications like security screening, real-time operation is a key-characteristic, hence the execution time of the reconstruction algorithm is a significant aspect. This paper proposes two computationally efficient techniques to address three-dimensional (3D) image reconstruction in the frequency domain when sparse Multiple-Input Multiple-Output (MIMO) apertures are used. The reconstruction fidelity of both methods is demonstrated by simulation results. The proposed algorithms are readily compatible with Synthetic Aperture Radar (SAR) measurement setups as well, hence their performance is also validated using an available set of experimental measurements. This paper focuses on the execution time of each method, when the algorithm is parallelized and executed on a single graphical process unit (GPU), arguing that three-dimensional scenes can be reconstructed with high accuracy in a few milliseconds using very low-cost hardware.

16:25 Numerical-Analytical Study of Performance of Mixed-Order Statistics Algorithm for Joint Estimation of DOA, Range and Backscatter Coefficient in a MIMO Structure
Amir Masoud Molaei (Queen's University Belfast, United Kingdom (Great Britain)); Philipp del Hougne (CNRS, Univ Rennes, France); Vincent Fusco (Queen's University Belfast, United Kingdom (Great Britain)); Okan Yurduseven (Queen's University Belfast & Duke University, United Kingdom (Great Britain))

Unlike passive detection in the far-field where the array steering vector depends only on direction-of-arrival (DOA), in the near-field (NF) since the shape of the spherical wavefront changes nonlinearly with position, the array steering vector depends on both the DOA and the range parameters. On the other hand, in an active detection scenario, measuring the reflective strength of a target is extremely important in radar applications. Recently, a method called mixed-order statistics algorithm (MOSA) with the joint estimation of three parameters, DOA, range and backscatter coefficient, in the NF by a multiple-input multiple-output structure has been proposed. MOSA defines two special spatial functions of the fourth-order and second-order statistics of the received signal and uses their combination to estimate the parameters. In this paper, first, a summary of the algorithm implementation steps is provided. Then, with numerical and analytical analyzes, its performance in terms of estimation accuracy, resolution and computational complexity is discussed. Its advantages and disadvantages are presented and solutions to improve its performance are provided.

16:45 Human and Drone Surveillance via RpF-Based WiFi Passive Radar: Experimental Validation
Marco Di Seglio, Francesca Filippini, Carlo Bongioanni and Fabiola Colone (Sapienza University of Rome, Italy)

This work deals with the short-range monitoring of small radar cross section targets using commercial WiFi transmitters as source of opportunity. Proper modifications to the conventional WiFi-based passive radar signal processing scheme are presented, based on the use of the Reciprocal Filter (RpF) approach for range compression, that (i) simplify the overall scheme (ii) make the processing robust to current and future 802.11 standards that might be characterized by mixed modulation transmissions (iii) result in a better sidelobe control (iv) enable an easier and cost-effective clutter cancellation strategy. Then, we introduce an appropriate solution to tackle the signal-to-noise ratio loss introduced by the presented strategy. The effectiveness of the resulting processing scheme is tested on experimental data, collected in both the 2.4 and 5 GHz WiFi bands, against both human targets and a small drone.

Wednesday, September 14

Wednesday, September 14 8:30 - 10:10

W03: Wireless networks

Room: Michael Faraday (room 211)
Chairs: Edward F Pliński (Wroclaw University of Science and Technology, Poland), Marek Suchanski (Military Communication Institute, Poland)
8:30 Prototyping a LTE-A Base Station with a Universal Hardware Platform
Przemyslaw Korpas, Dawid Rosolowski, Wojciech Wojtasiak and Daniel Gryglewski (Warsaw University of Technology, Poland); Michał Kajczuk (IT Partners Telco Sp. z o. o., Poland)

This paper presents a universal SDR development platform for prototyping LTE base stations for private networks with a standard-defined front-end operating in the 3.6÷3.8 GHz band with time duplexing. The high power amplifier efficiency of 35% was obtained using a Doherty architecture with a peak power of 160 W at 9.8 dB of PAPR during the LTE20 ETM1.1 waveform test.

8:50 Near-Field Hybrid (Time/Frequency Domain) Chipless-RFID System Based on Linear Strips Tag
Amirhossein Karami-Horestani (CIMITEC, Departament d'Enginyeria Electrònica & Universitat Autònoma de Barcelona, Spain); Ferran Paredes and Ferran Martín (CIMITEC, Departament d'Enginyeria Electrònica Universitat Autònoma de Barcelona)

In this paper, a novel structure to be used in near-field chipless RFID systems based on sequentially reading of frequency coded inclusions is presented. In the proposed system, the reader consists of a transmission line with a gap and the tag consists of a chain of line (strip) resonators. By moving the tag on top of the reader with a small airgap between them, significant transmission in the reader occurs when the line resonator lies on top of the transmission line´s gap, provided the feeding signal is tuned to the frequency of that resonator. The tag is designed by considering four different lengths for the strip resonators, corresponding to four different frequencies, which can be found in the reader´s output according to the length of the line resonator on top of the reader in every time sequence. Therefore, in every time sequence four different states (two bits) can be coded. As a result, the number of bits per length of the tag in this system is twice the number of bits in the tags based on the absence/presence of functional inclusions. According to the explanations above, the reader, which consists of a transmission line with a gap, is fed with four different harmonic signals with frequencies equal to the resonance frequencies of the strip resonators. In this paper, the results related to a tag including four line resonators are provided as a first proof-of-concept demonstrator.

9:10 Modulation and Pulse Shaping Filter Classification of Raw Baseband Samples Based on Convolutional Neural Network
Thanh Nam Tran (Hanoi University, Vietnam); Grzegorz Bogdan (Warsaw University of Technology, Poland)

Convolutional neural network (CNN) is a machine learning methodology that has found successful implementations in many domains including electromagnetics and wireless communications. This paper investigates the use of the CNN in modulation and pulse shaping filter classification, which can be used in future cognitive radios for reception of unknown signals. The focus of this paper is on conversion of raw baseband samples to an appropriate format. The AlexNet CNN architecture was selected and trained on six different datasets. Obtained results show that CNN can be used to classify modulation schemes and the raised cosine filter roll-off factor in noisy samples even without carrier synchronization.

9:30 Security Threats and Countermeasures in Military 5G Systems
Joanna Sliwa and Marek Suchanski (Military Communication Institute, Poland)

The fifth generation of mobile telecommunications (5G) is considered a very interesting solution for military applications. However characteristics of this technology (open interfaces, cloud-based nature) create additional security threats and generate very broad threat landscape for the 5G deployments. In the article we describe main security threats related to the Radio Access Network (RAN), taking into account the open version of its implementation - O-RAN. We emphasise also possible adversarial attacks which can have significant impact when machine learning algorithms are used e.g. in the RAN Intelligent Controller. Another important thereat vector which is valid for every 5G deployment is supply chain attack. The article summarizes the basic good security practices in ensuring security in military 5G private networks and the initial thoughts on how to counteract the attack vectors presented above.

9:50 Challenges of 5G and Beyond Mobile Radio Networks
Andriy Prof. Dr. habil. (Dr. Sci.II) Luntovskyy (BA Dresden University of Cooperative Education & Staatliche Studienakademie BA Dresden, Germany)

The paper is devoted to the overview of the challenges for 5G and Beyond Mobile Radio Networks (efficient structures, attractive applications, cost reducing, billing and security). New mobile network technologies, like 6G and Satellite-based Internet Starlink play a steady growing role. The following aspects are examined:

  1. Motivation and State-of-the-Art, 5G development features: cellular structures, interoperability to Wi-Fi and WSN;
  2. Advanced security and Blockchained applications;
  3. Blockchain opportunities and challenges for 5G Beyond and Beyond;
  4. New generation mobile nets: Starlink, the new Satellite-based Internet;
  5. Sixth Generation for Mobile Radio Networks.

W06: SAR/ISAR/Imaging

Room: Heinrich Hertz (room 352)
Chairs: Marcin Kamil Baczyk (Warsaw University of Technology, Poland), Dieter Nagel (Hensoldt Ulm, Germany)
8:30 3D Reconstruction of Resident Space Objects Using Radar Interferometry and Non Uniform Fast Fourier Transform from Sparse Data
Manjunath Thindlu Rudrappa, Rudolf Hoffmann, Marcus Albrecht and Peter Knott (Fraunhofer FHR, Germany)

In this paper, 3D reconstruction of resident space objects (RSO) in orbit using a radar network with one transmitter and three receivers is studied. The interferometric phase for 3D reconstruction is extracted by the nonuniform fast Fourier transform (NUFFT) using sparse data at three receivers. The performance of the time frequency representation reconstructed from sparse data and the effect of data sparsity positions at three receivers in size, shape, rotation rate and Doppler estimation is illustrated.

8:50 TanDEM-X Mission Status and Outlook on the Tandem-L Mission
Maximilian Schandri (DLR - HR, Germany); Manfred Zink (DLR, Germany); Markus Bachmann (German Aerospace Center (DLR), Germany)

The TanDEM-X mission consists of two satellites which fly in close formation to form a single-pass bistatic synthetic aperture radar (SAR) interferometer. It is used for the generation of the digital elevation model (DEM) of the global land surfaces and for scientific applications. The global TanDEM-X DEM has been completed in 2016. Since then bistatic operations continued for DEM updates and to capture dynamic changes of the Earth's topography. A better understanding of these dynamic processes is the objective of Tandem-L, a follow-on mission proposal. It is based on two L-Band satellites featuring digital beamforming techniques in combination with large deployable reflectors enabling up to 350 km swath width and weekly global interferometric coverage. Such frequent observations are the precondition for monitoring dynamic changes in the biosphere (forest height, 3D forest structure, biomass), in the geosphere (deformation due to seismic and volcanic activities), in the cryosphere (sea ice extent, glacier flow velocity, volume and 3D structure), and in the hydrosphere (soil moisture, ocean currents) at adequate time intervals. Tandem-L aims at more than 25 higher level information products, among them are seven essential climate variables.

9:10 Comparing Decentralized and Centralized Approaches for Translational Motion Estimation with Multistatic ISAR Systems
Alejandro Testa (Sapienza University of Rome, Italy); Debora Pastina (Uniroma, Italy); Fabrizio Santi (Sapienza University of Rome, Italy)

This paper focuses on comparing two different approaches for translational motion estimation with multistatic ISAR systems. Particularly, both a decentralized and a centralized approach are considered. Synthetic data are used to evaluate the accuracy of the kinematics parameter estimates and to compare the two approaches discussing also advantages and drawbacks.

9:30 CNN Performance Analysis for SAR Object Classification
Sandhi Wangiyana (Warsaw University of Technology, Poland)

Advancing Aerial View Object Classification (AVOC) methods benefit many applications, such as surveillance and geospatial analysis. Synthetic Aperture Radar (SAR) imaging can operate at night and in various weather conditions, giving an advantage over Electro-optical (EO) sensors. However, the unique properties of radar imaging pose a challenge for human interpretation. Hence, automatic methods such as a Convolutional Neural Network (CNN) have gained popularity in recent years. However, deep learning approaches are considered black box models due to the difficulty of understanding their predictions. We trained a CNN model based on the DenseNet architecture for vehicle classification in SAR images. We investigate the classification performance using the model's learned latent space and visualize important features considered for predictions. Our proposed method achieved 24.88% accuracy in the testing phase of the PBVS 2022 Multi-modal Aerial View Object Classification (MAVOC) Challenge.

9:50 Feature Relevance Evaluation Using Grad-CAM, LIME and SHAP for Deep Learning SAR Data Classification
Chandana Panati (Fraunhofer FHR, Germany); Simon Wagner (Fraunhofer FHR & University of Siegen, Germany); Stefan Bruggenwirth (Fraunhofer FHR, Germany)

For predictive analysis and automatic classification, Deep Neural Networks (DNNs) are investigated and visualized. All the DNNs used for Automatic Target Recognition (ATR) have inbuilt feature extraction and classification abilities, but the inner working gets more opaque rendering them a black box as the networks get deeper and more complex. The main goal of this paper is to get a glimpse of what the network perceives in order to classify Moving and Stationary Target Acquisition and Recognition (MSTAR) targets. However, past works have shown that classification of targets was performed solely based on clutter within the MSTAR data. Here we show that the DNN trained on the MSTAR dataset classifies only based on target information and the clutter plays no role in it. To demonstrate this, heatmaps are generated using the Gradient-weighted Class Activation Mapping (Grad-CAM) method to highlight the areas of attention in each input Synthetic Aperture Radar (SAR) image. To further probe into the interpretability of classifiers, reliable post hoc explanation techniques are used such as Local Interpretable Model-Agnostic Explanations (LIME) and SHapley Additive exPlanations (SHAP) to approximate the behaviour of a black box by extracting relationships between feature value and prediction.

W05: Weather and Polarimetric radar

Room: John Henry Poynting (room 264)
Chair: Felix J Yanovsky (National Aviation University, Ukraine)
8:30 Fully Polarimetric Calibration of Ka-Band Radar with Band Separated Twist Reflector
Tim Freialdenhoven, Sreejith Nair and Thomas Dallmann (Fraunhofer Institute for High Frequency Physics and Radar Techniques FHR, Germany)

A fully polarimetric calibration method for a partially polarimetric Ka-band radar combined with a frequency selective twist reflector is presented. Such a system enables the capture of the entire scattering matrix by dividing the available bandwidth instead of requiring additional channels. To test this principle, a quasi-monostatic measurement setup is arranged and described in this paper. Various disturbances, among others, occur due to the use of a twist reflector, which must be taken into account and require a novel calibration approach. Therefore, a four step calibration process containing a single 22.5° oriented dihedral reference, electrical length compensation, phase band correction required by the band separation and an application of the monostatic condition is introduced. A selection of corner reflector types is used for a measurement campaign and processed by the proposed signal processing chain. Targets are clearly identified after applying Pauli decomposition to the calibrated measurement data. Eventually, various error effects are analyzed.

8:50 Polarimetric Signatures of Moving Automotive Vehicles Based on H/A/α-Decomposition: Preliminary Results with PARSAX Radar Data
Detmer Bosma and Oleg Krasnov (Delft University of Technology, The Netherlands); Alexander Yarovoy (TU Delft, The Netherlands)

Polarimetric radar responses from moving automotive targets are studied aiming at target classification using the polarimetric H/A/α-decomposition technique. A signal- and data processing chain has been proposed for the detection and tracking of targets in a multi-target environment in the range-Doppler domain. Polarimetric information of the vehicles is collected during tracking and is applied to the H/A/α-decomposition technique. Employing both time averaging and spatial averaging of the statistical coherency matrix, the polarimetric signatures of both vehicles and static clutter have been presented in the two-dimensional H/α-plane. It has been found that the spatial averaging approach results in a polarimetric signature that can be very helpful to distinguish automotive vehicles from static clutter.

9:10 Performance Analysis of the Wind Field Estimation for a Very Fast Scanning Weather Radar
Tworit Dash and Oleg Krasnov (Delft University of Technology, The Netherlands); Alexander Yarovoy (TU Delft, The Netherlands)

The performance and limitations of the Doppler processing of the scattered signals from extended meteorological objects (precipitation) are analysed in the case of radar with fast azimuthal scanning. The classical method of the Discrete Fourier Transform (DFT) has been applied to simulated weather radar signals to estimate the Doppler velocity spectrum and characterise it with the mean Doppler velocity and the Doppler spectrum width. The accuracy and resolution of these estimations have been analysed as a function of the scanning radar rotation speed. Finally, the performances of the 2D wind field retrieval are analysed in relation to the accuracy and resolution of Doppler spectra estimations. The wind field retrieval has been done using the classical velocity azimuthal display (VAD) retrieval technique that gives an overall/average estimate of the wind field over an observation region. A few possible approaches for improving the accuracy and resolution of a fast scanning weather radar Doppler signal processing are proposed and analysed based on simulated scanning radar data.

9:30 A New Dual-Frequency-Based Hydrometeor Classification Approach for the Global Precipitation Measurements Core-Satellite
Velibor Pejcic, Kai Mühlbauer and Silke Trömel (University of Bonn, Institute for Geosciences, Germany)

This study introduces a new three-dimensional hydrometeor classification (HMC) based on the measurements of the dual-frequency precipitation radar (DPR) on board of the core satellite Global Precipitation Measurement (GPM) towards a more detailed satellite-based and thus area-wide classification. To establish the new dual-frequency-based HMC method (HMC-DF), measurements from several overflights of the GPM satellite are directly compared with the dual polarisation measurements of the C-band radar network of the German Weather Service (DWD). The DPR measurements are assigned to hydrometeor classes determined via existing polarimetric HMC applied to the ground-based measurements. For the specific hydrometeor classes, the reflectivities at Ku-band, at Ka-band and the dual frequency ratio (DFR) are considered as three-dimensional vectors and averaged to centroids. The determined centroids are used to assign current DPR measurements to a specific hydrometeor class based on the euclidean distance between observations and centroids. Vertical distributions of hydrometeor types derived from ground-based polarimetric measurements of the X-band weather radar BoXPol operated by the University of Bonn in western Germany are used for a first evaluation of the new dual-frequency-based HMC and confirms its applicability.

9:50 Generalized Computer Model of Sea, Land and Atmospheric Clutter
Felix J Yanovsky (National Aviation University, Ukraine & Delft University of Technology, The Netherlands); Ihor Prokopenko and Anna Rudiakova (National Aviation University, Ukraine); Huinam Rhee (Sunchon National University, Korea (South))

The generalized mathematical and computer model of clutter is developed. It is based on K-distribution and can be used for simulation of sea, atmospheric, and land clutter with different characteristics. Examples of model application for testing rank algorithm for clutter suppression are considered and analyzed.

W04: Radar Technology

Room: Andre Ampere (room 360)
Chairs: Mateusz Malanowski (Warsaw University of Technology, Poland), Kamil Staszek (AGH University of Science and Technology, Poland)
8:30 Unsupervised Time Series Pattern Recognition for Purpose of Electronic Surveillance
Petr Horky (Brno University of Technology, Czech Republic); Ales Prokes (Brno University of Technology & Sensor, Information and Communication Systems Research Centre, Czech Republic); Petr Hubacek (University of Defence, Czech Republic)

Signal classification is one of the main tasks of electronic surveillance. This paper focuses on extracting patterns from time series and testing robustness of pre-trained Neural Network (NN). A dataset of 10 different time series was created and used to train a neural network based on the Time-Series Representation Learning via Temporal and Contextual Contrasting (TS-TCC) model. The logits layer of the NN model was removed from this pre-trained model to obtain the feature vectors. A dataset containing 87 real signals acquired from passive surveillance sensors was passed to the NN to obtain embeddings that represent the features of the signals extracted from the NN. The dataset was then corrupted with missing pulses and spurious pulses and tested on pre-trained NN. This unsupervised learning method was able to recognize 76% of the signals even with 50% of the missing input data. The research showed that an important step to improve NN performance is to choose suitable data scaling method. The best results were achieved using the StandardScaler from scikit-learn preprocessing library.

8:50 Construction of Multistatic Radio Receiving System for Research Purposes at the Przasnysz Airfield
Konrad Jędrzejewski, Mateusz Malanowski, Krzysztof (Chris) Kulpa, Łukasz Maślikowski, Marcin Kamil Baczyk and Marcin Piasecki (Warsaw University of Technology, Poland)

The paper presents the technical assumptions and results of constructing a multistatic radio receiving system built at the airfield owned by the Warsaw University of Technology in Przasnysz, Poland. The purpose of the system is to enable various research and development works on sophisticated signal processing techniques with the multistatic radio signals reception, such as passive emitter tracking or passive coherent location. The system consists of four receiving stations in different locations. In each of them, there is an antenna mast with radio receivers and computers allowing to process recorded signals. A unique feature of the system is to ensure the reception of signals in the frequency band from approx. 85 MHz to approx. 3 GHz, which was achieved by using three circular antenna arrays operating in different bands.

9:10 Efficient Implementation of a Digital Chirp Generator
Andreas Falkenberg (Metawave Corporation, USA)

This paper presents a novel implementation of a digital chirp generator, which can be implemented in a very efficient way on digital processing platforms. The solution here is derived from the implementation of the well-known CORDIC algorithm for sine and cosine waveform generation. Chirp generators are used in radar applications but the herein presented solution can be applied to any problem, which requires an efficient chirp generator solution. It can be implemented on a DSP processor as well as FPGA or a general-purpose CPU.

9:30 A New Turbulence Weather Model with Gaussian Spectrum
Wang Zhiyi, Ding Jieru and Wang Min (Xidian University, China)

The modeling of echos and jamming plays an important role in the radar field. Based on the scatterers' echo and motion model, this paper proposes the simplified physical turbulence model and the small eddy turbulence scheme. The theoretical derivation of a Gaussian spectrum of small eddy turbulence is carried out to further reveal the key to the formation of the Gaussian spectrum of meteorological clutter. Experimental results and analysis show the correctness of the proposed model. The advantage of the proposed scheme is that, compared with some other schemes, the generated weather radar echo has better controllable in spatial distribution and spectral characteristics. Therefore, the proposed scheme can be better applied to the radar jammer and simulator based on digital radio frequency memory (DRFM).

9:50 Precession Frequency Estimation for Ballistic Missiles Using the Homomorphic Filter and the CAMDF Method
Lihua Liu and Xuan Li (National University of Defence Technology, China); Mounir Ghogho (UIR, Morocco); HongBin Huang and Tengyun Wang (National University of Defense Technology, China)

There is a great challenge to recognize ballistic missile warheads from light, heavy decoys and other countermeasures environments for the ballistic missile defense (BMD) system, especially under the strict real-time requirement. In this paper, we propose a simple yet efficient method about precession frequency extraction for the ballistic missile recognition. Firstly, in the preparation procedure, we establish the radar cross section (RCS) signal model to describe the scattering effect of conical ballistic missile, as well as considering the fluctuation effects to approximate the realistic occasion. Then, a homomorphic filter system is designed and circular average magnitude difference function (CAMDF) is applied to filter RCS signal and remove the fluctuation effect, such that estimating the precession frequency. The numerical simulations show that the proposed method has accurate estimation performance in the limited observation duration (20 seconds) about the precession frequency. This method is also robust that performs well in a wide range of signal-to-noise-ratio environment (almost 5dB to 40dB), which possess the practical merits in the BMD.

Wednesday, September 14 10:30 - 12:10

MIKONplenary2: MIKON Plenary session Wed

Room: Auditorium Novum
Chairs: Maurizio Bozzi (University of Pavia, Italy), Rafal Lech (Gdansk University of Technology, Poland)
10:30 Challenges in RF & mm-Wave Packaging for Radar and Communication Systems
Maciej Wojnowski (Infineon Technologies, Germany)

System-in-package (SiP) is a major trend in integration of microelectronic systems to tackle the increasing needs for more functionality into a smaller volume. SiP leads to heterogeneous integration of integrated circuits along with sensors, microelectromechanical components, passive devices, filters and antennas. Another important trend in packaging is the continuing move toward higher frequencies. 5G high-speed wireless communication, mm-wave radar for autonomous driving and high-resolution mm-wave environment sensing and imaging are just a few examples of applications for future markets. In this talk, we present the latest developments in packaging technologies for mm-wave radar and communication systems. We demonstrate the system integration capabilities of the embedded wafer level ball grid array (eWLB) technology. After introduction of low-loss transmission lines and high-quality planar inductors in thin-film redistribution layers (RDL), we present chip-package-board transitions without external matching networks optimized for use in the 60/70/80 GHz bands. We present the concepts of antenna integration in eWLB and show examples of different antenna structures. To demonstrate the system-in-package integration capabilities of eWLB, we show 60 GHz and 77 GHz eWLB transceiver modules with integrated antennas. The use of vertical interconnections and double-sided RDL extend the integration capabilities to the third dimension. We present ways of realizing vertical interconnections in eWLB using through encapsulate vias (TEV) and novel embedded Z lines (EZL) technology. We show examples of vertical interconnections, embedded passives, RF transitions and 3D antennas realized using the TEV and EZL technology. Finally, we present the concept of substrate integrated waveguide (SIW) for eWLB. To combine advantages of planar circuits with rectangular waveguides we present a novel, compact and low-loss transition from chip to SIW in eWLB and to standard WR10 rectangular waveguide.

11:15 Correlating mmWave Permittivity Measurement Tools with an Introduction to Intel's Metrology Capability Analysis
Michael Hill (Intel Corporation, USA)

To create the advanced 3D stacked package technology used in today's high-performance microprocessors, Intel relies on a wide array of measurement techniques spanning many technical disciplines. For example, high speed interconnects are often characterized by insertion loss and characteristic impedance which intern are controlled by more fundamental properties like copper conductivity, surface roughness, and the permittivity and loss tangent of the dielectric materials used. In order to ensure that measurements collected on these important quantities are reliable, robust and reproducible, Intel performs a study called a Metrology Capability Analysis (MCA) on each key metrology. This process, which others may know as a Gauge Repeatability and Reproducibility study, is a critical part of improving a lab's ability to collect meaningful data and is a core requirement at Intel. These studies, which may at first appear onerous and time consuming to perform are in fact very straightforward and provide great insight and improvement opportunities for even the simplest of measurement techniques.
In this talk an overview of the MCA process used at Intel will be presented along with results from recent efforts to correlate Split Post Dielectric Resonator (SPDR), Split Cavity Resonator (SCR) and Fabry Perot Open Resonator measurements (FPOR). These correlation efforts, which begin with robust MCAs for each metrology, span 5 GHz to 110 GHz and utilize a high purity, ultra-low loss, fused silica prototype reference sample. This material sample is a proof-of-concept artifact developed as part of an International Electronics Manufacturing Initiative (iNEMI) collaboration effort to create mmWave traceable permittivity reference materials. A brief introduction to that effort will also be provided.

W12: ESM

Room: Michael Faraday (room 211)
Chairs: Krzysztof (Chris) Kulpa (Warsaw University of Technology, Poland), Daniel W O'Hagan (Fraunhofer FHR, Germany)
10:30 Blind Source Separation of Radar Signals in Time Domain Using Deep Learning
Sven Hinderer (University of Stuttgart, Germany)

Identification and further analysis of radar emitters in a contested environment requires detection and separation of incoming signals. If they arrive from the same direction and at similar frequencies, deinterleaving them remains challenging. A solution to overcome this limitation becomes increasingly important with the advancement of emitter capabilities. We propose treating the problem as blind source separation in time domain and apply supervisedly trained neural networks to extract the underlying signals from the received mixture. This allows us to handle highly overlapping and also continuous wave (CW) signals from both radar and communication emitters. We make use of advancements in the field of audio source separation and extend a current state-of-the-art model with the objective of deinterleaving arbitrary radio frequency (RF) signals. Results show, that our approach is capable of separating two unknown waveforms in a given frequency band with a single channel receiver.

10:50 Radar Signal Recognition Using Wavelet Transform and Machine Learning
Adam Kawalec and Marta Walenczykowska (Military University of Technology, Poland)

Automatic recognition of various signal characteristics turned out to be useful in many areas, including intelligent radio systems, electronic warfare or surveillance. There are various new radar systems in the electromagnetic environment and emitter recognition is key problem in the rapidly changing environment. Using wavelet transform turns out to be effective way to extract signal features for identification purposes. In this paper there are selected types of radar signals analysed. Wavelet transform (WT) statistics are proposed as signal features. Principal component analysis (PCA) is considered to reduce number of features. Artificial neural networks are proposed as classifiers.

11:10 Transfer Learning Based Intra-Modulation of Pulse Classification Using the Continuous Paul-Wavelet Transform
Michael Kohler, Peter Ahlemann, Andreas Bantle and Matthias Rapp (HENSOLDT Sensors GmbH, Germany); Matthias Weiß and Daniel W O'Hagan (Fraunhofer FHR, Germany)

This paper presents the evaluation of an approach for automatic modulation classification (AMC) using continuous wavelet transforms (CWT) with the Paul-wavelet as the signal input domain for a pre-trained convolutional neural network (CNN). AMC plays an elementary role in the field of electronic support measures (ESM) within the context of electronic warfare (EW) for the correct emitter and threat detection as well as classification respectively. Similarly, in the area of electronic countermeasures (ECM) for consistent jamming performance, accurate knowledge of the modulation used is necessary. The focus of the presented work is on transfer learning, an approach where a pre-trained network is applied to modulation classification by re-training the last learnable and the final classification layer. The CWT using the Paul-wavelet offers feature-visibility of transients, especially interesting for phase-modulated signals and therefore offers the ability as feature input domain to the CNN. Accordingly, the classification was performed and evaluated with selected modulation and parameter configurations under different signal-to-noise ratios (SNR). The approach presented here shows an overall average classification rate of over 90% for SNR values greater 5 dB.

11:30 Classifying LPI Radar Waveforms with Time Frequency Transformations Using Multi Stage CNN
Mehmet Parlak (Özyeğin University, Turkey & IF Consultancy, USA); Islam Guven, Can Yagmur and Bahadır Karadas (Ozyegin University, Turkey)

As the number of radar waveforms in the cognitive electronic warfare applications increases, individual detection and classification performances of each waveform vary furthermore due to their different characteristics. To provide a supervised signal classification in an efficient framework, we propose a multi-stage waveform classification system, where multiple modular blocks are combined to classify 18 different radar waveforms. In the first stage, we transform the signals into time-frequency images (TFIs) using Fourier-based Synchrosqueezing Transform (FSST) and SqueezeNet to classify the signals into two subsets: P1-4 and others. Then, the subsets are used as inputs to two different systems. These systems use different TFI techniques such as FSST and Smoothed Pseudo Wigner Ville Distribution (SPWVD) for processing and convolutional neural network (CNN) architectures such as Squeezenet, ResNet-50, and ShuffleNet for classification. In experiments, we provide supervised classification results at different signal-to-noise ratio (SNR) levels and achieve 98.08% classification accuracy at 10-dB SNR on a diverse set of frequency and phase modulated signals.

W11: Imaging a Human Body

Room: John Henry Poynting (room 264)
Chairs: Marlene Harter (University of Applied Sciences Offenburg, Germany), Konrad Jędrzejewski (Warsaw University of Technology, Poland)
10:30 Synthetic Training Data Generator for Hand Gesture Recognition Based on FMCW RADAR
Yanhua Zhao (IHP, Germany and HU, Berlin, Germany); Vladica Sark (IHP - Leibniz-Institut für Innovative Mikroelektronik, Germany); Milos Krstic (IHP, Germany); Eckhard Grass (IHP & Humboldt-University Berlin, Germany)

Hand gesture recognition has attracted a lot of attention recently. However, gesture recognition based on machine learning (ML) requires a huge training data set in order to achieve high recognition accuracy. Creating this training data set requires a significant effort. In order to solve this problem, a synthetic frequency modulated continuous waves (FMCW) RADAR data generator for hand gestures is proposed. This generator can produce a large amount of data that can be used for training the ML model, without collecting real data involving multiple people. For evaluation, 3600 synthetic samples for six hand gestures are generated with rich variations in hand size, speed and position. Those synthetic data are utilized to train the ML models used for hand gesture recognition. Further, the models are tested by real data set acquired by an AWR 1642 RADAR. The results indicate that a convolutional neural network with 19 layers (VGG19) pre-trained model in conjunction with the XGBoost classifier can achieve an average accuracy of 87.53% on the test set. If only 2% of the real data is used for training, the XGBoost classifier alone achieves an average accuracy of 56.31%. But if the synthetic data set and 2% of the real data set are combined, the average recognition accuracy of the XGBoost classifier on the test data set is increased to 94.63%.

10:50 Evaluation of Imaging Algorithms for Medical Applications with a Multi-Channel Ultra-Wideband Radar System
Hima Dominic and Mathias Kromer (Offenburg University, Germany); Reinhard Echle and Marlene Harter (University of Applied Sciences Offenburg, Germany)

In this study, various imaging algorithms for the localization of objects has been investigated. An Ultra-Wideband (UWB) radar based experimental setup with a circular antenna array is also designed as part of this work. This concept could be particularly useful in microwave medical imaging applications. In order to validate its applicability in microwave imaging, different imaging algorithms has been evaluated and compared by means of our experimental setup. Accurate imaging results have been achieved with our system under multiple test-scenarios.

11:10 Evaluation of Spiking Neural Networks for Time Domain-Based Radar Hand Gesture Recognition
Ahmed Shaaban (Friedrich-Alexander-Universität Erlangen-Nürnberg & Infineon Technologies AG, Germany); Wolfgang Furtner (Infineon Technologies AG, Germany); Robert Weigel (Friedrich-Alexander Universität Erlangen-Nürnberg, Germany); Fabian Lurz (Hamburg University of Technology, Germany)

Radar-based hand gesture recognition is a promising alternative to the camera-based solutions since radar is not impacted by lighting conditions and has no privacy concerns. Energy consumption is a key concern for radar applications on edge devices. Thus, a time-domain-based training approach that avoids the computationally expensive pre-processing fast Fourier transform (FFT) steps and utilizes time-domain radar data has been used. Spiking neural networks (SNNs) are recognized as being lower-power and more energy-efficient than artificial neural networks (ANNs). Therefore, we used the time-domain training approach alongside SNNs to conserve the most energy. This work evaluates several convolutional-based SNNs and their ANN variants to determine the SNNs appropriateness for temporally based datasets and their ability to learn complex spatio-temporal features. All models were trained using only time-domain data and then used to classify ten different gestures recorded by five different people using a 60 GHz frequency-modulated continuous-wave (FMCW) radar sensor. The results indicate the effectiveness of the used time-domain training approach and the ability of SNNs to outperform their ANN counterparts.

11:30 Robustness of Deep Neural Networks for Micro-Doppler Radar Classification
Mikolaj Czerkawski, Carmine Clemente, Craig Michie, Ivan Andonovic and Christos Tachtatzis (University of Strathclyde, United Kingdom (Great Britain))

With the great capabilities of deep classifiers for radar data processing come the risks of learning dataset-specific features that do not generalize well. In this work, the robustness of two deep convolutional architectures, trained and tested on the same data, is evaluated. When standard training practice is followed, both classifiers exhibit sensitivity to subtle temporal shifts of the input representation, an augmentation that carries minimal semantic content. Furthermore, the models are extremely susceptible to adversarial examples. Both small temporal shifts and adversarial examples are a result of a model overfitting on features that do not generalize well. As a remedy, it is shown that training on adversarial examples and temporally augmented samples can reduce this effect and lead to models that generalise better. Finally, models operating on cadence-velocity diagram representation rather than Doppler-time are demonstrated to be naturally more immune to adversarial examples.

Wednesday, September 14 13:25 - 15:05

MRWclosing: MRW Closing

Room: Auditorium Novum
Chair: Jozef Modelski (Warsaw University of Technology, Poland)
13:25 Advances in Soil Moisture Measurements with the Use of Microwave Techniques
Arkadiusz Lewandowski (Warsaw University of Technology, Poland)

In this talk we present results of a long-term collaboration between the Warsaw University of Technology and the Institute of Agrophysics, Polish Academy of Sciences in the area of soil moisture measurements. This collaboration resulted in a high-speed measurement system for laboratory reference measurements of 0.05-3 GHz soil dielectric spectrum in 0-40 deg. C. temperature range, and in an innovative IoT soil-moisture sensor for field applications based on 0.05-2 GHz micro VNA. Details of these solutions will be presented and prospects for further development will be discussed.

14:10 Linear and Nonlinear Radar Sensors: Recent Developments and Security Considerations
Changzhi Li (Texas Tech University, USA)

By sensing various life activities with microwave signals, portable radar sensors with state-of-the-art front-end and measurement algorithms have great potential to improve healthcare, transportation, and human-machine interface. This presentation will first provide an overview on linear smart radar sensors powered by advanced digital/RF beamforming, multiple-input and multiple-output (MIMO), synthetic aperture radar (SAR), inverse synthetic-aperture radar (ISAR), and deep learning. A few examples based on interferometry, Doppler, frequency-shift keying (FSK), and frequency-modulated continuous-wave (FMCW) modes at 5.8 GHz, 24 GHz, and 120 GHz will be discussed. Then, the mechanism and applications of nonlinear radar sensing technologies will be reported, with a focus on in-band third-order intermodulation measurement for enhanced target identification and parameter extraction. Case studies at this exciting human-microwave frontier will be given on physiological signal sensing, non-contact human-computer interface, driving behavior recognition, human tracking, and anomaly detection.

As smart radar sensors become ubiquitous in many areas of modern life, measures to enhance their security against malicious attacks are of paramount importance. This part of the talk will discuss possible ways of attacks to radar sensors based on spoofing and jamming. Then technologies that mitigate potential attacks will be unveiled to make smart radar sensors more secure and trustworthy. Finally, this talk will conclude with future industrial and academic R&D outlooks for microwave radar sensors.

Thursday, September 15

Thursday, September 15 8:00 - 13:30

WR1: Short Course on EM modelling

Room: NE 230 (Faculty of ETI Building B)

The aim of the Short Course on electromagnetic modelling is to cover fundamental and practical issues of computer modelling with different numerical methods. The Short Course will present to its attendees an overview of modelling techniques. The focus will be given to two most popular discrete numerical methods: Finite Element Method and Finite Difference Time Domain method, addressing fundamentals, advantages, and basic causes of errors. The theoretical lectures will be supported with presentation of successful practical and industrial user cases on application of electromagnetic modelling, dedicated to variety of research areas, and hands-on sessions, delivering practical experience with two different electromagnetic solvers, QuickWave and InventSim, based on FDTD and FEM methods. Practical sessions will be focused on filters and antennas (including ultra-fast analysis of Body of Revolution problems, e.g. dual reflector antennas) design and analysis, with highlights concerned with other devices. The attendees will work with the solvers, preparing a predefined simulation scenarios, running the simulation, exploring basic and advanced solvers' capabilities, and interpreting simulation results.

Thursday, September 15 8:30 - 13:00

TUT1: Beyond 5G Integrated Sensing and Communications

Half-day - two slots with a 10-minute break in between
Kumar Vijay Mishra & Bhavani Shankar
Room: NE 234 (Faculty of ETI Building B)

Today's cellular networks are at a crossroads while moving from the current 4G cellular networks used for content delivery to the upcoming 5G networks that will provide services with low latency, high security, and high throughput. At the same time, a crunch in spectrum usage implies that such high data networks must coexist with the radar sensing systems of the future. In this tutorial, we present fundamental challenges in enabling a crucial tradeoff between sensing/radar and communications functionalities in beyond 5G (B5G) systems. In particular, the automotive sector has recently witnessed concerted and intense efforts towards realizing the joint radar-communications (JRC) systems for efficient utilization of limited electromagnetic spectrum at millimeter-wave (mm-Wave). This band is characterized by severe penetration losses, short coherence times, and the availability of wide bandwidth. While wide bandwidth is useful in attaining high vehicular communications data rates and high-resolution automotive radar, the losses must be compensated by using a large number of antennas at the transmitter and receiver. In this context, there is also recent research focus on joint multiple-input multiple-output (MIMO)-Radar-MIMO-Communications (MRMC) systems, where the antenna positions of radar and communications are shared with each other.

These synergistic approaches that exploit the interplay between state sensing and communication are both driving factors and opportunities for many current signal processing and information-theoretic techniques. For example, while there are still many open challenges at mm-Wave JRC, it is already a precursor to sub-mm-Wave or Terahertz (THz) JRC, where futuristic short-range THz communications would coexist with low-THF (.1-1THz) automotive and imaging radars. At present, THz band is witnessing developments such as ultra-massive-MIMO systems which employ thousands of antennas in a few cms of aperture. Imaging with low-THz automotive radar is currently being investigated. Joint sensing-communications is also a growing area for unmanned aerial vehicles (UAVs) such as drones. Building on the existing approaches, the tutorial focuses on highlighting emerging scenarios in collaborative and joint sensing and communications systems, particularly at mm-Wave and THz frequencies, highly dynamic vehicular environments, distributed radar-communications networks, and aerial channels, that would benefit from information exchange between the two systems. It presents the architectures, possible methodologies for mutually beneficial co-existence as separate entities or as a joint module and presents some recent results. The avenues discussed in the tutorial offer rich research potential while also enabling innovative plug-and-play methodologies for co-existence and co-design.

Thursday, September 15 13:30 - 17:30

WR2: Workshop on Microwave and Millimetre-Wave Characterization of Dielectric Sheets

Room: NE 231 (Faculty of ETI Building B)

The aim of this Workshop is to present state-of-the-art and novel achievements in microwave and millimetre-wave characterization of dielectric sheets in the 1-110 GHz range with various resonant methods. At first, the efforts on benchmarking currently available material characterization methods, as recently undertaken by the international scientific and industrial community associated within the iNEMI 5G/mmWave Materials Assessment and Characterization project Consortium, will be addressed. The aim of the project was to identify advantages and limitations of the selected methods, determine possible gaps for extending these methods to 5G/mmWave frequencies, as well as to develop reliable reference standard materials for set-up and calibration. The measurement results obtained with such resonant methods as split-post dielectric resonators (SPDRs), Fabry-Perot open resonators (FPORs), split cavity resonators (SCR), and balanced-type circular-disk resonators (BCDR) will be presented and the project impact will be discussed. In a subsequent part of the Workshop, the attention will be paid to measurement techniques based on SPDRs and FPORs addressing their features, advantages and limitations as well as practical measurement aspects. Point-wise measurements with SPDRs will be discussed together with surface imaging of the complex permittivity, run with a fully-automated 2D SPDR scanner. In case of the FPOR, two geometries will be presented, namely, double-concave and plano-concave, pointing out major differences between them in terms of the measurable types of the samples. Error and uncertainty budgets of both SPDRs and FPORs will also be discussed in details. In particular, it will be shown how the thickness and Q-factor uncertainties affect dielectric constant and loss tangent uncertainties, respectively. Lectures will be supported with hands-on training, giving the opportunity of gaining practical insight into material characterization with SPDRs and FPORs as given directly by their vendors and developers.

Thursday, September 15 14:00 - 16:00

TUT3: Surveillance of drones and birds with staring radar

Quarter-Day (2 hours)
Mohammed Jahangir, Michail Antoniu (Univ. of Birmingham)
Room: NE 230 (Faculty of ETI Building B)

In recent years the number of drones operating at low altitudes has increased immensely that has brought about the growth in the demand for radar that can provide round the clock surveillance for small airborne targets. Staring radar utilizes extended dwell on target in order to detect small drones but such systems are equally effective at detecting birds which can result in confuser targets. A deeper understanding at the signature level is central to the ability to develop effective discriminators that can distinguish drones from birds. At University of Birmingham (UoB) a dedicated facility of two networked staring radars has been set up to provide data to support machine learning techniques for classification of targets and mapping and characterising low to medium airspace in an urban setting.

This workshop will briefly outline the motivation for small target detection using a surveillance radar, introduce the basic radar principles of the staring radar and illustrate the working of the radar equation to derive a generic signal-to-noise ratio for a small target. This will be followed by a description of the staring radar testbed at UoB and the process set up for conducting control trials with drones and birds along with techniques developed for obtaining labelled data for opportune birds. Numerous examples will be provided of real radar measurements of drones and birds, along with a discussion of a number of machine learning techniques. The latter will also review modelling approaches for target signatures and future direction for classification. Finally, latest techniques developed for longer term monitoring of bird movement in low altitude airspace will be discussed to highlight the potential benefit to other applications such as aeroecology.

This workshop will enable the participants to gain an appreciation of the potential and limitation for detecting and classifying small airborne targets with a staring radar. They will benefit from gaining some insight into both the future direction for the emerging processing techniques and innovation in radar architecture for networked systems.

TUT2: Deep Learning for Synthetic Aperture Radar Target Recognition and Image Interpretation

Quarter-Day (2 hours)
Prof. Feng Xu, Fudan University
Room: NE 234 (Faculty of ETI Building B)

In the big data era of earth observation, deep learning and other data mining technologies become critical to successful end applications. Deep learning technology has revolutionized the computer vision areas, and is gradually being applied in radar remote sensing. Over the past several years, there has been exponentially increasing interests related to deep learning techniques applied to synthetic aperture radar (SAR) imagery. However, there are issues that are specific to SAR image interpretation such as limited training samples, sensitivity to observation configuration, or weak generalization ability. There are some techniques that can be used to mitigate these issues such as fusing electromagnetic physics laws with deep neural networks, using prior constraints of physical laws to realize few-shot learning capability, etc. This tutorial reports the recent progresses of the author and collaborators in this area.

The first part of the tutorial briefly introduces the theory of deep learning, including the principles of deep neural networks, the backpropagation algorithms, programming toolboxes, etc. The second part of the tutorial introduces many cases of application including SAR automatic target recognition, polarimetric classification, image segmentation, few-shot/zero-shot learning, target reconstruction, etc. In each case study, it will also introduce the used novel advanced deep learning method which are specially designed to tackle the challenges that are specific to SAR data, e.g. EM-simulation-aided zero-shot learning, adversarial auto-encoder networks for SAR image generation, differentiable SAR renderer for target reconstruction, physics-inspired neural networks for electromagnetic problems. Finally, it also discusses the future development of SAR intelligent interpretation and microwave vision technology.

Participants are expected to understand the basic theory for deep neural networks including convolutional neural network, backpropagation algorithm, etc., and learn the relevant skills for SAR image interpretation with deep learning. In the meantime, participants will get to know some recent progresses in the this area and understand how deep learning techniques can be adapted for the specific domain-relevant problems.